Browsing by author "Vertommen, Johan"
Now showing items 21-38 of 38
-
Integration of high-K gate dielectrics - wet etch, cleaning and surface conditioning
De Gendt, Stefan; Beckx, Stephan; Caymax, Matty; Claes, Martine; Conard, Thierry; Delabie, Annelies; Deweerd, Wim; Hellin, David; Kraus, Harald; Onsia, Bart; Paraschiv, Vasile; Puurunen, Riikka; Rohr, Erika; Snow, Jim; Tsai, Wilman; Van Doorne, Patrick; Van Elshocht, Sven; Vertommen, Johan; Witters, Thomas; Heyns, Marc (2004) -
Introducing novel metal gate materials for decananometer CMOS in the agile fab: a case study
Deweerd, Wim; Schram, Tom; Catana, Gabriela; Shamiryan, Denis; Garaud, Sylvain; Hellin, David; De Gendt, Stefan; Heyns, Marc; Wickramanayaka, S.; Kawashima, T.; Yamada, N.; Vertommen, Johan; Lander, Rob (2004) -
Ion-implanted resist stripping with water vapour
Daviet, Jean-François; Coosemans, Frank; Vertommen, Johan (1994) -
Key contributors for improvement of line width roughness, line edge roughness, and critical dimension uniformity: 15 nm half-pitch patterning with extreme ultraviolet and self-aligned double patterning
Xu, Kaidong; Souriau, Laurent; Hellin, David; Versluijs, Janko; Wong, Patrick; Vangoidsenhoven, Diziana; Vandenbroeck, Nadia; Dekkers, Harold; Shi, Xiaoping; Albert, Johan; Tan, Chi Lim; Vertommen, Johan; Coenegrachts, Bart; Orain, Isabelle; Kimura, Yoshie; Wiaux, Vincent; Boullart, Werner (2013-09) -
Memory node and oxide-nitride-oxide gate stack patterning for a bi-layer poly-silicon channel
Paraschiv, Vasile; Vecchio, Emma; Milenin, Alexey; Kar, Gouri Sankar; Demand, Marc; Coenegrachts, Bart; Vertommen, Johan; Boullart, Werner (2011) -
Performance improvement of self-aligned HfO2/TaN and SiON/TaN nMOS transistors
Schram, Tom; Ragnarsson, Lars-Ake; Lujan, Guilherme; Deweerd, Wim; Chen, Jerry; Tsai, Wilman; Henson, Kirklen; Lander, Rob; Hooker, Jacob; Vertommen, Johan; De Meyer, Kristin; De Gendt, Stefan; Heyns, Marc (2005-03) -
Proximity effects in dry developed lithography for sub-0.35 µm application
Goethals, Mieke; Baik, Ki-Ho; Ronse, Kurt; Vertommen, Johan; Van den hove, Luc (1994) -
Residue control in the removal of La2O3/HfO2 for high-k/metal gate formation: balancing plasma etch, strip and wet clean
Hellin, David; Vos, Ingrid; Geypen, Jef; Bender, Hugo; Paraschiv, Vasile; Boullart, Werner; Vertommen, Johan (2010) -
Scaling of Hf-based high-k dielectrics
Heyns, Marc; Beckx, Stephan; Caymax, Matty; Chen, J.; Claes, Martine; Coenegrachts, Bart; De Gendt, Stefan; Degraeve, R.; Delabie, Annelies; Deweerd, Wim; Groeseneken, Guido; Hayashi, Shigenori; Henson, Kirklen; Hooker, Jacob; Houssa, Michel; Kauerauf, Thomas; Kerber, A.; Kwak, Dong Hwa; Lander, Rob; Lujan, Guilherme; Niwa, Masaaki; Pantisano, Luigi; Puurunen, Riikka; Ragnarsson, Lars-Ake; Rohr, Erika; Schram, Tom; Shimamoto, Y.; Tsai, Wilman; Van Elshocht, Sven; Vertommen, Johan; Vandervorst, Wilfried; Kubicek, Stefan (2004) -
Scaling of high-k dielectrics towards sub-1nm EOT
Heyns, Marc; Beckx, Stephan; Bender, Hugo; Blomme, Pieter; Boullart, Werner; Brijs, Bert; Carter, Richard; Caymax, Matty; Claes, Martine; Conard, Thierry; De Gendt, Stefan; Degraeve, Robin; Delabie, Annelies; Deweerd, Wim; Groeseneken, Guido; Henson, Kirklen; Kauerauf, Thomas; Kubicek, Stefan; Lucci, Luca; Lujan, Guilherme; Mentens, Jimmy; Pantisano, Luigi; Petry, Jasmine; Richard, Olivier; Röhr, Erika; Schram, Tom; Vandervorst, Wilfried; Van Doorne, Patrick; Van Elshocht, Sven; Westlinder, Jörgen; Witters, Thomas; Zhao, Chao; Cartier, Eduard; Chen, Jerry; Cosnier, Vincent; Green, Martin; Jang, Se Aug; Kaushik, Vidya; Kerber, Andreas; Kluth, Jon; Lin, Steven; Tsai, Wilman; Young, Edward; Manabe, Yukiko; Shimamoto, Yasuhiro; Bajolet, Philippe; De Witte, Hilde; Maes, Jan; Date, Lucien; Pique, Didier; Coenegrachts, Bart; Vertommen, Johan; Passefort, Sophie (2003) -
Selective wet etching of HF-based layers
Claes, Martine; Paraschiv, Vasile; Boutkabout, Hakim; Witters, Thomas; De Gendt, Stefan; Rohr, Erika; Coenegrachts, Bart; Vertommen, Johan; Richard, Olivier; Lindsay, Richard; Boullart, Werner; Heyns, Marc (2004) -
Silicon nano-pillar test structures for quantitative evaluation of wafer drying induced pattern collapse
Vos, Ingrid; Hellin, David; Vertommen, Johan; Boullart, Werner (2011) -
Silicon nano-pillar test structures for quantitative evaluation of wafer drying induced pattern collapse
Vos, Ingrid; Hellin, David; Vertommen, Johan; Demand, Marc; Boullart, Werner (2011) -
Study of the interplay between dry etch and wet clean in patterning La2O3/HfO2 containing high-k/metal gate stacks
Vos, Ingrid; Hellin, David; Vrancken, Christa; Vecchio, Emma; Paraschiv, Vasile; Vertommen, Johan; Boullart, Werner (2009) -
TaN metal gate MOSFETs with agressively scaled HfO2 dielectrics
Lander, Rob; Schram, Tom; Lujan, Guilherme; Hooker, Jacob; Vertommen, Johan; Lee, S.; Deweerd, Wim; Boullart, Werner; Van Elshocht, Sven; Carter, Richard; Kubicek, Stefan; De Meyer, Kristin; De Gendt, Stefan; Heyns, Marc (2003) -
The effect of delay between dry etch and wet clean processing steps on cleaning of post-etch residues
Hellin, David; Vos, Ingrid; Vereecke, Guy; Pavel, E.; Boullart, Werner; Vertommen, Johan (2007) -
The influence of post-etch InGaAs Fin profile on electrical performance
Ivanov, Tsvetan; Pourghaderi, Mohammad Ali; Lin, Dennis; Yu, Jen-Kan; Tan, S.; Mikhaylich, K.; Kimura, Y.; Hellin, David; Geypen, Jef; Bender, Hugo; Vertommen, Johan; Kamarthy, G.; Collaert, Nadine; Marks, J.; Vahedi, V; Arghavani, R.; Thean, Aaron (2013) -
Top-surface imaging and optical proximity correction: a way to 0.18 µm lithography at 248 nm
Goethals, Mieke; Vertommen, Johan; Van Roey, Frieda; Yen, Anthony; Tritchkov, Alexander; Ronse, Kurt; Jonckheere, Rik; Van den hove, Luc (1996)