Show simple item record

dc.contributor.authorLei, W.
dc.contributor.authorPethe, S.
dc.contributor.authorHwang, S.
dc.contributor.authorChen, F.
dc.contributor.authorWu, Z.
dc.contributor.authorMachillot, J.
dc.contributor.authorCockburn, A.
dc.contributor.authorJansen, A.
dc.contributor.authorvan der Veen, Marleen
dc.contributor.authorVarela Pedreira, Olalla
dc.contributor.authorHeylen, Nancy
dc.contributor.authorJourdan, Nicolas
dc.contributor.authorLariviere, Stephane
dc.contributor.authorPark, Seongho
dc.contributor.authorStruyf, Herbert
dc.contributor.authorTokei, Zsolt
dc.date.accessioned2022-05-05T09:10:06Z
dc.date.available2022-05-05T02:17:28Z
dc.date.available2022-05-05T09:10:06Z
dc.date.issued2021
dc.identifier.issn2380-632X
dc.identifier.otherWOS:000784773200026
dc.identifier.urihttps://imec-publications.be/handle/20.500.12860/39744.2
dc.sourceWOS
dc.titleExploring W-Cu hybrid dual damascene metallization for future nodes
dc.typeProceedings paper
dc.contributor.imecauthorvan der Veen, Marleen
dc.contributor.imecauthorVarela Pedreira, Olalla
dc.contributor.imecauthorHeylen, Nancy
dc.contributor.imecauthorJourdan, Nicolas
dc.contributor.imecauthorLariviere, Stephane
dc.contributor.imecauthorPark, Seongho
dc.contributor.imecauthorStruyf, Herbert
dc.contributor.imecauthorTokei, Zsolt
dc.contributor.orcidimecvan der Veen, Marleen::0000-0002-9402-8922
dc.identifier.doi10.1109/IITC51362.2021.9537410
dc.identifier.eisbn978-1-7281-7632-1
dc.source.numberofpages3
dc.source.peerreviewyes
dc.source.conferenceIEEE International Interconnect Technology Conference (IITC)
dc.source.conferencedateJUL 06-09, 2021
dc.source.conferencelocationVirtual
dc.source.journalna
imec.availabilityPublished - imec


Files in this item

FilesSizeFormatView

There are no files associated with this item.

This item appears in the following collection(s)

Show simple item record

VersionItemDateSummary

*Selected version