Repository logo Institutional repository
  • Communities & Collections
  • Browse
  • Site
Search repository
High contrast
  1. Home
  2. Browse by Author

Browsing by Author "Dehaene, Wim"

Filter results by typing the first few letters
Now showing 1 - 20 of 210
  • Results Per Page
  • Sort Options
  • Loading...
    Thumbnail Image
    Publication

    3-D technology assessment: path-finding the technology/design sweet-spot

    Marchal, Pol
    ;
    Bougard, Bruno
    ;
    Katti, Guruprasad
    ;
    Stucchi, Michele  
    ;
    Dehaene, Wim  
    Journal article
    2009, Proceedings of the IEEE, (97) 1, p.96-107
  • Loading...
    Thumbnail Image
    Publication

    3D IO interface design between memory and logic dies on TSV interconnects

    Facchini, Marco
    ;
    Marchal, Pol
    ;
    Dehaene, Wim  
    Proceedings paper
    2009, HPCA-15 / Workshop on 3D Integration and Interconnection-Centric Architectures, 14/02/2009
  • Loading...
    Thumbnail Image
    Publication

    3D stacked IC demonstration using a through silicon via first approach

    Van Olmen, Jan  
    ;
    Mercha, Abdelkarim  
    ;
    Katti, Guruprasad
    ;
    Huyghebaert, Cedric  
    ;
    Van Aelst, Joke  
    Proceedings paper
    2008, Technical Digest International Electron Devices Meeting - IEDM, 15/12/2008, p.603-606
  • Loading...
    Thumbnail Image
    Publication

    3D stacked IC demonstrator using hybrid collective die-to-wafer bonding with copper through silicon vias (TSV)

    Van Olmen, Jan  
    ;
    Coenen, Jens
    ;
    Dehaene, Wim  
    ;
    De Meyer, Kristin  
    ;
    Huyghebaert, Cedric  
    ;
    Jourdain, Anne  
    Proceedings paper
    2009, IEEE 3D-IC, 28/09/2009
  • Loading...
    Thumbnail Image
    Publication

    3D stacked ICs using Cu TSVs and die to wafer hybrid collective bonding

    Katti, Guruprasad
    ;
    Mercha, Abdelkarim  
    ;
    Van Olmen, Jan  
    ;
    Huyghebaert, Cedric  
    ;
    Jourdain, Anne  
    Proceedings paper
    2009, IEEE International Electron Devices Meeting - IEDM, 7/12/2009, p.357-360
  • Loading...
    Thumbnail Image
    Publication

    40x current variation reduction enabled by an external VT-compensation scheme for AMOLED displays using a 3T2C-pixel circuit with dual-gate TFTs

    Verschueren, Lynn  
    ;
    Ameys, Marc  
    ;
    De Roose, Florian  
    ;
    Steudel, Soeren
    ;
    van der Steen, Jan-Laurens  
    Proceedings paper
    2018, SID's Display Week Symposium, 20/05/2018
  • Loading...
    Thumbnail Image
    Publication

    8b thin-film microprocessor using a hybrid oxide-organic complementary technology with inkjet-printed P2ROM memory

    Myny, Kris  
    ;
    Smout, Steve  
    ;
    Rockele, Maarten
    ;
    Bhoolokam, Ajay
    ;
    Ke, Tung Huei  
    ;
    Steudel, Soeren
    Proceedings paper
    2014, IEEE International Solid-State Circuits Conference - ISSCC, 9/02/2014, p.486-487
  • Loading...
    Thumbnail Image
    Publication

    8T SRAM with Mimicked Negative Bit-lines and Charge Limited Sequential sense amplifier for wireless sensor nodes

    Sharma, Vibhu
    ;
    Cosemans, Stefan  
    ;
    Ashouei, Maryam
    ;
    Huisken, Jos
    ;
    Catthoor, Francky  
    ;
    Dehaene, Wim  
    Proceedings paper
    2011, 37th European solid-State Circuits Conference - ESSCIRC, 12/09/2011, p.531-534
  • Loading...
    Thumbnail Image
    Publication

    A 128b organic RFID transponder chip, including Manchester encoding and ALOHA anti-collision protocol, operating with a data rate of 1529b/s

    Myny, Kris  
    ;
    Beenhakkers, Monique J.
    ;
    van Aerle, Nick A.J.M.
    ;
    Gelinck, Gerwin H.
    ;
    Genoe, Jan  
    Proceedings paper
    2009, IEEE International Solid-State Circuits Conference - ISSCC, 8/02/2009, p.206-207
  • Loading...
    Thumbnail Image
    Publication

    A 14 bit 130 MHz CMOS current-steering DAC with adjustable INL

    Chen, Tao
    ;
    Geens, Peter
    ;
    Van der Plas, Geert  
    ;
    Dehaene, Wim  
    ;
    Gielen, Georges  
    Proceedings paper
    2004-09, Proceedings of the 30th European Solid-State Circuits Conference - ESSCIRC, 21/09/2004, p.167-170
  • Loading...
    Thumbnail Image
    Publication

    A 24V Thin-Film Ultrasonic Driver for Haptic Feedback in Metal-Oxide Thin-Film Technology using Hybrid DLL Locking Architecture

    Pelgrims, Jonas
    ;
    Myny, Kris  
    ;
    Dehaene, Wim
    Proceedings paper
    2022, 48th IEEE European Solid State Circuits Conference (ESSCIRC), SEP 19-22, 2022, p.69-72
  • Loading...
    Thumbnail Image
    Publication

    A 28 nm CMOS 7.04 Gsps polar digital front-end processor for 60 GHz transmitter

    Huang, Yanxiang
    ;
    Khalaf, Khaled  
    ;
    Bourdoux, André
    ;
    Verschueren, Julien  
    ;
    Shi, Qixian  
    ;
    Wambacq, Piet  
    Proceedings paper
    2016, IEEE Asian Solid-State Circuits Conference - A-SSCC, 8/11/2016, p.333-336
  • Loading...
    Thumbnail Image
    Publication

    A 2Mbit Digital in-Memory Computing Matrix-Vector Multiplier for DNN Inference supporting flexible bit precision and matrix size achieving 612 binary TOPS/W

    Gupta, Mohit
    ;
    Cosemans, Stefan
    ;
    Debacker, Peter  
    ;
    Dehaene, Wim
    Proceedings paper
    2023, IEEE 49th European Solid-State Circuits Conference (ESSCIRC), SEP 11-14, 2023, p.417-420
  • Loading...
    Thumbnail Image
    Publication

    A 2T1C AMOLED display with external compensation reducing on-panel current variations to 0.079 percent

    Verschueren, Lynn  
    ;
    Ameys, Marc  
    ;
    Velazquez Lopez, Mauricio  
    ;
    Smout, Steve  
    ;
    Ke, Tung Huei  
    Proceedings paper
    2020, SID's Display Week 2020, 2/08/2020, p.547-550
  • Loading...
    Thumbnail Image
    Publication

    A 3.6pJ/access 480MHz, 128Kbit on-chip SRAM with 850MHz boost mode in 90nm CMOS with tunable sense amplifiers to cope with variability.

    Cosemans, S.
    ;
    Dehaene, Wim  
    ;
    Catthoor, Francky  
    Proceedings paper
    2008, 34th European Solid-State Circuits Conference - ESSCIRC, 15/09/2008, p.278-281
  • Loading...
    Thumbnail Image
    Publication

    A 36V Ultrasonic Driver for Haptic Feedback Using Advanced Charge Recycling Achieving 0.20CV(2)f Power Consumption

    Pelgrims, Jonas
    ;
    Myny, Kris  
    ;
    Dehaene, Wim
    Proceedings paper
    2021, 47th IEEE European Solid State Circuits Conference (ESSCIRC), SEP 06-09, 2021, p.159-162
  • Loading...
    Thumbnail Image
    Publication

    A 36V Ultrasonic Driver for Haptic Feedback Using Advanced Charge Recycling Achieving 0.20CV(2)f Power Consumption

    Pelgrims, Jonas
    ;
    Myny, Kris  
    ;
    Dehaene, Wim
    Proceedings paper
    2021, IEEE 51st European Solid-State Device Research Conference (ESSDERC), SEP 06-09, 2021, p.159-162
  • Loading...
    Thumbnail Image
    Publication

    A 4.4 pJ/access 80 MHz, 128 kbit variability resilient SRAM with multi-sized sense amplifier redundancy

    Sharma, Vibhu
    ;
    Cosemans, Stefan  
    ;
    Ashouei, Maryam
    ;
    Huisken, Jos
    ;
    Catthoor, Francky  
    ;
    Dehaene, Wim  
    Journal article
    2011, IEEE Journal of Solid-State Circuits, (46) 10, p.2416-2430
  • Loading...
    Thumbnail Image
    Publication

    A 4.4pJ/access 80MHz, 2K word x 64b memory with write masking feature and variability resilient multi-sized sense amplifier redundancy for wireless sensor nodes applications

    Vibhu Sharma, Vibhu
    ;
    Cosemans, Stefan  
    ;
    Ashouei, Maryam
    ;
    Huisken, Jos
    ;
    Catthoor, Francky  
    Proceedings paper
    2010, 36th European Solid-State Circuits Conference - ESSCIRC, 14/09/2010, p.358-361
  • Loading...
    Thumbnail Image
    Publication

    A 65 nm, 850 MHz, 256 kbit, 4.3 pJ/access, ultra low leakage power memory using dynamic cell stability and a dual swing data link

    Rooseleer, Bram  
    ;
    Cosemans, Stefan  
    ;
    Dehaene, Wim  
    Journal article
    2012, IEEE Journal of Solid-State Circuits, (47) 7, p.1784-1796
  • «
  • 1 (current)
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10
  • 11
  • »

Follow imec on

VimeoLinkedInFacebook

The repository

  • Contact us
  • Policy
  • About imec
Privacy statement | Cookie settings