Repository logo Institutional repository
  • Communities & Collections
  • Scientific publicationsOpen knowledge
Search repository
High contrast
  1. Home
  2. Browse by Author

Browsing by Author "Marchal, Pol"

Filter results by typing the first few letters
Now showing 1 - 20 of 85
  • Results per page
  • Sort Options
  • Loading...
    Thumbnail Image
    Publication

    3-D technology assessment: path-finding the technology/design sweet-spot

    Marchal, Pol
    ;
    Bougard, Bruno
    ;
    Katti, Guruprasad
    ;
    Stucchi, Michele  
    ;
    Dehaene, Wim  
    Journal article
    2009, Proceedings of the IEEE, (97) 1, p.96-107
  • Loading...
    Thumbnail Image
    Publication

    3D heterogeneous system integration: Application driver for 3D technology development

    Beyne, Eric  
    ;
    Marchal, Pol
    ;
    Van der Plas, Geert  
    Proceedings paper
    2011, 48th ACM/EDAC/IEEE Design Automation Conference - DAC, 5/06/2011, p.213
  • Loading...
    Thumbnail Image
    Publication

    3D integration or how to scale in the 21st century

    Marchal, Pol
    Oral presentation
    2008, Design, Automation and Test in Europe Conference - DATE
  • Loading...
    Thumbnail Image
    Publication

    3D integration technology basics and its impact on design

    Badaroglu, Mustafa  
    ;
    Marchal, Pol
    Oral presentation
    2010, Workshop on Technology-Architecture Interaction at the 37th International Symposium on Computer Architecture (ISCA-37)
  • Loading...
    Thumbnail Image
    Publication

    3D Integration: Circuit design, test and reliability challenges

    Minas, Nikolaos
    ;
    De Wolf, Ingrid  
    ;
    Marinissen, Erik Jan  
    ;
    Stucchi, Michele  
    ;
    Oprins, Herman  
    Proceedings paper
    2010, 16th IEEE International On-Line Testing Symposium - IOLTS, 5/07/2010, p.217
  • Loading...
    Thumbnail Image
    Publication

    3D IO interface design between memory and logic dies on TSV interconnects

    Facchini, Marco
    ;
    Marchal, Pol
    ;
    Dehaene, Wim  
    Proceedings paper
    2009, HPCA-15 / Workshop on 3D Integration and Interconnection-Centric Architectures, 14/02/2009
  • Loading...
    Thumbnail Image
    Publication

    3D technology roadmap and status

    Marchal, Pol
    ;
    Van der Plas, Geert  
    ;
    Eneman, Geert  
    ;
    Moroz, V.
    ;
    Badaroglu, Mustafa  
    Proceedings paper
    2011, IEEE International Interconnect Technology Conference and Materials for Advanced Metallization - IITC/MAM, 8/05/2011
  • Loading...
    Thumbnail Image
    Publication

    3D-Integration: status, opportunities

    Van der Plas, Geert  
    ;
    Marchal, Pol
    Oral presentation
    2011, 16th Asia and South Pacific Design Automation Conference - ASP-DAC
  • Loading...
    Thumbnail Image
    Publication

    A calibrated pathfinding model for signal integrity analysis on interposer

    Kim, Jaemin
    ;
    Kim, Sunyoung
    ;
    Ryckaert, Julien  
    ;
    Detalle, Mikael  
    ;
    Van Hoovels, Nele  
    ;
    Marchal, Pol
    Proceedings paper
    2012, IEEE Custom Integrated Circuits Conference - CICC, 9/09/2012
  • Loading...
    Thumbnail Image
    Publication

    A designer's perspective on future memory architectures for software defined radios

    Marchal, Pol
    ;
    Bougard, Bruno
    ;
    Papanikolaou, Antonis
    ;
    Miranda Corbalan, Miguel
    Proceedings paper
    2007-05, Proceedings 2nd International Conference on Memory Technology and Design - ICMTD, 5/05/2007, p.25-28
  • Loading...
    Thumbnail Image
    Publication

    A practical approach to thermal modeling and validation of 3D-ICs

    Cupak, Miroslav  
    ;
    Oprins, Herman  
    ;
    Van der Plas, Geert  
    ;
    Marchal, Pol
    ;
    Vandevelde, Bart  
    Meeting abstract
    2010, DAC 2010 User Track, 13/07/2010
  • Loading...
    Thumbnail Image
    Publication

    A study of trade-offs in inter-frame compression MPEG4 for a multiprocessor platform

    Portero, T.
    ;
    Marchal, Pol
    ;
    Gomez, J.I.
    ;
    Pinuel, L.
    ;
    Catthoor, Francky  
    ;
    Carrabina, J.
    Proceedings paper
    2004, Design of Circuits and Integrated Systems (DCIS) Conference, 1/11/2004, p.363-368
  • Loading...
    Thumbnail Image
    Publication

    An integrated hardware/software approach for run-time scratchpad management

    Poletti, Francesco
    ;
    Marchal, Pol
    ;
    Atienza, David
    ;
    Benini, Luca
    ;
    Catthoor, Francky  
    Proceedings paper
    2004, Proceedings of the 41st Annual Conference on Design Automation, 7/06/2004, p.238-243
  • Loading...
    Thumbnail Image
    Publication

    An RDL-configurable 3D memory tier to replace on-chip SRAM

    Facchini, Marco
    ;
    Marchal, Pol
    ;
    Catthoor, Francky  
    ;
    Dehaene, Wim  
    Proceedings paper
    2010, Design, Automation and Test in Europe Conference - DATE, 8/03/2010, p.291-294
  • Loading...
    Thumbnail Image
    Publication

    Analysis of microbump induced stress effects in 3D stacked IC technologies

    Ivankovic, Andrej
    ;
    Van der Plas, Geert  
    ;
    Moroz, V.
    ;
    Choi, M.
    ;
    Cherman, Vladimir  
    ;
    Mercha, Abdelkarim  
    Proceedings paper
    2012, IEEE International 3D System Integration Conference - 3DIC, 31/01/2012, p.9-Apr
  • Loading...
    Thumbnail Image
    Publication

    Application of substrate noise simulation methodology to 3D-stacking

    Bronckers, Stephane
    ;
    Van der Plas, Geert  
    ;
    Marchal, Pol
    ;
    Rolain, Yves
    Oral presentation
    2009, Design, Automation and Test in Europe Conference - DATE: Workshop on 3D Integration (W5)
  • Loading...
    Thumbnail Image
    Publication

    Architectures and circuits for software defined radios: scaling and scalability for low cost and low energy

    Van der Perre, Liesbet
    ;
    Bougard, Bruno
    ;
    Craninckx, Jan  
    ;
    Dehaene, Wim  
    ;
    Hollevoet, Lieven
    Proceedings paper
    2007-02, IEEE International Solid-State Circuits Conference - ISSCC, 11/02/2007, p.568-569
  • Loading...
    Thumbnail Image
    Publication

    At tape-out: can yield in terms of parametric specifications be predicted?

    Papanikolaou, Antonis
    ;
    Miranda Corbalan, Miguel
    ;
    Marchal, Pol
    ;
    Dierickx, Bart
    Proceedings paper
    2007-09, IEEE Custom Integrated Circuit Conference - CICC, 16/09/2007, p.773-778
  • Loading...
    Thumbnail Image
    Publication

    Automated PathFinding tool chain for 3D-stacked integrated circuits: practical case study

    Milojevic, Dragomir  
    ;
    Carlson, Trevor
    ;
    Croes, Kris
    ;
    Radojcic, Riko
    ;
    Ragett, D.F.
    ;
    Seynhaeve, D.
    Proceedings paper
    2009-09, IEEE 3D System Integration Conference - 3DSIC, 28/09/2009
  • Loading...
    Thumbnail Image
    Publication

    Bygg tredimensionellt

    Provoost, Jan  
    ;
    Marchal, Pol
    Journal article
    2011-12, Elektronik i Norden, (2011) 11, p.32-34
  • «
  • 1 (current)
  • 2
  • 3
  • 4
  • 5
  • »

Follow imec on

VimeoLinkedInFacebook

The repository

  • Contact us
  • Policy
  • About imec
Privacy statement | Cookie settings