Browsing by author "Hellin, David"
Now showing items 21-40 of 52
-
Introducing novel metal gate materials for decananometer CMOS in the agile fab: a case study
Deweerd, Wim; Schram, Tom; Catana, Gabriela; Shamiryan, Denis; Garaud, Sylvain; Hellin, David; De Gendt, Stefan; Heyns, Marc; Wickramanayaka, S.; Kawashima, T.; Yamada, N.; Vertommen, Johan; Lander, Rob (2004) -
Introduction of high-k materials into wet processing, analysis and behavior
Onsia, Bart; Hellin, David; Claes, Martine; Maes, A.; De Gendt, Stefan; Heyns, Marc (2003) -
Investigation of metallic contamination analysis using vapor phase decomposition – droplet collection – total reflection X-ray fluorescence (VPD-DC-TXRF) for Pt-group elements on silicon wafers
Hellin, David; Valckx, Nick; Rip, Jens; De Gendt, Stefan; Vinckier, Chris (2008) -
Key contributors for improvement of line width roughness, line edge roughness, and critical dimension uniformity: 15 nm half-pitch patterning with extreme ultraviolet and self-aligned double patterning
Xu, Kaidong; Souriau, Laurent; Hellin, David; Versluijs, Janko; Wong, Patrick; Vangoidsenhoven, Diziana; Vandenbroeck, Nadia; Dekkers, Harold; Shi, Xiaoping; Albert, Johan; Tan, Chi Lim; Vertommen, Johan; Coenegrachts, Bart; Orain, Isabelle; Kimura, Yoshie; Wiaux, Vincent; Boullart, Werner (2013-09) -
Linearity of TXRF: droplet residues versus spin-coated wafers
Hellin, David; Fyen, Wim; Rip, Jens; Delande, Tinne; De Gendt, Stefan; Vinckier, Chris (2005) -
Metal analysis methodology for novel materials in IC manufacturing
Hellin, David; Rip, Jens; De Gendt, Stefan; Mertens, Paul; Vinckier, Chris (2005) -
N10 SADP bulk FinFET depth micro loading improvement with bias pulsing plasma
Tao, Zheng; Vos, Ingrid; Altamirano Sanchez, Efrain; Xu, Kaidong; Hellin, David; Camerotto, Elisabeth; Jumel, Helene; Titus, Monica (2014) -
Physico-chemical study of probe immobilisations for immunosensing applications
Laureyn, Wim; Frederix, Filip; Köhn, F.; Hellin, David; Ceulemans, Griet; Maes, Guido (2000) -
Remediation for TXRF saturation effects on micro-droplet residues from preconcentration methods on semiconductor wafers
Hellin, David; Rip, Jens; Geens, Veerle; Delande, Tinne; Conard, Thierry; De Gendt, Stefan; Vinckier, Chris (2005) -
Residue control in the removal of La2O3/HfO2 for high-k/metal gate formation: balancing plasma etch, strip and wet clean
Hellin, David; Vos, Ingrid; Geypen, Jef; Bender, Hugo; Paraschiv, Vasile; Boullart, Werner; Vertommen, Johan (2010) -
Rinsing and drying issues during the post CMP cleaning process
Fyen, Wim; Xu, Kaidong; Van Steenbergen, Jan; Vereecke, Guy; Vos, Rita; Arnauts, Sophia; Rip, Jens; Kenis, Karine; Holsteyns, Frank; Hellin, David; Doumen, Geert; Mertens, Paul; Kraus, Harald; Lee, Kim (2004) -
Saturation effects in total reflection X-ray spectrometry on microdroplet samples
De Gendt, Stefan; Vinckier, Chris; Hellin, David (2003) -
Saturation effects in TXRF on micro-droplet residue samples
Hellin, David; Fyen, Wim; Rip, Jens; Delande, Tinne; Mertens, Paul; De Gendt, Stefan; Vinckier, Chris (2004) -
Saturation effects in TXRF on micro-droplet residues
Hellin, David; De Gendt, Stefan; Mertens, Paul; Vinckier, Chris (2004) -
Self-aligned-quadruple-patterning for N7/N5 silicon fins
Altamirano Sanchez, Efrain; Tao, Zheng; Gunay Demirkol, Anil; Lorusso, Gian; Hopf, Toby; Everaert, Jean-Luc; Sobieski, Daniel; Ou, Fung Suong; Hellin, David; Clark, William (2016) -
Silicon nano-pillar test structures for quantitative evaluation of wafer drying induced pattern collapse
Vos, Ingrid; Hellin, David; Vertommen, Johan; Boullart, Werner (2011) -
Silicon nano-pillar test structures for quantitative evaluation of wafer drying induced pattern collapse
Vos, Ingrid; Hellin, David; Vertommen, Johan; Demand, Marc; Boullart, Werner (2011) -
State-of-the art cleaning in semiconductor manufacturing
Mertens, Paul; Arnauts, Sophia; Bearda, Twan; Eitoku, Atsuro; Fyen, Wim; Hellin, David; Holsteyns, Frank; Kesters, Els; Kraus, Harald; Lee, Kuntack; Onsia, Bart; Rip, Jens; Schmidt, H.; Snow, Jim; Teerlinck, Ivo; Vereecke, Guy; Vos, Rita; Xu, Kaidong; Heyns, Marc (2003) -
Study of the interplay between dry etch and wet clean in patterning La2O3/HfO2 containing high-k/metal gate stacks
Vos, Ingrid; Hellin, David; Vrancken, Christa; Vecchio, Emma; Paraschiv, Vasile; Vertommen, Johan; Boullart, Werner (2009) -
The effect of delay between dry etch and wet clean processing steps on cleaning of post-etch residues
Hellin, David; Vos, Ingrid; Vereecke, Guy; Pavel, E.; Boullart, Werner; Vertommen, Johan (2007)