Browsing by author "Vos, Ingrid"
Now showing items 1-20 of 32
-
300 mm wafer-level, ultra-dense arrays of Au-capped nanopillars with sub-10 nm gaps as reliable SERS substrates
Li, Jiaqi; Chen, Chang; Jans, Hilde; Xu, XiuMei; Verellen, Niels; Vos, Ingrid; Okumura, Yasuaki; Moshchalkov, Victor; Lagae, Liesbet; Van Dorpe, Pol (2014) -
A novel concept for contact etch residue removal
Vos, Ingrid; Hellin, David; Demuynck, Steven; Richard, Olivier; Conard, Thierry; Vertommen, Johan; Boullart, Werner (2007) -
Characterisation and integration feasibility of JSR's low-k dielectric LKD-5109
Das, Arabinda; Kokubo, Terukazu; Furukawa, Yukiko; Struyf, Herbert; Vos, Ingrid; Sijmus, Bram; Iacopi, Francesca; Van Aelst, Joke; Le, Quoc Toan; Carbonell, Laure; Brongersma, Sywert; Maenhoudt, Mireille; Tokei, Zsolt; Vervoort, Iwan; Sleeckx, Erik; Stucchi, Michele; Schaekers, Marc; Boullart, Werner; Rosseel, Erik; Van Hove, Marleen; Vanhaelemeersch, Serge; Shiota, A.; Maex, Karen (2002) -
Characterisation of JSR's spin-on hardmask FF-02
Das, Arabinda; Le, Quoc Toan; Furukawa, Yukiko; Nguyen Hoang, Viet; Terzieva, Valentina; de Theije, Femke; Whelan, Caroline; Maenhoudt, Mireille; Struyf, Herbert; Tokei, Zsolt; Iacopi, Francesca; Stucchi, Michele; Carbonell, Laure; Vos, Ingrid; Bender, Hugo; Patz, M.; Beyer, Gerald; Van Hove, Marleen; Maex, Karen (2003) -
Characterization of PVD TaN and ALD WNxCy copper diffusion barriers on a porous CVD low-k material
Travaly, Youssef; Kemeling, N.; Maenhoudt, Mireille; Peeters, S.; Tokei, Zsolt; Abell, Thomas; Schuhmacher, Jörg; Turturro, S.; Vos, Ingrid; Eugene, Lino; Matsuki, N.; Fukazawa, A.; Goundar, K.; Satoh, K.; Kato, M.; Kaneko, S.; Vertommen, Johan; Sprey, Hessel; Van Hove, Marleen; Jonas, A.; Maex, Karen (2004) -
Confined chemical cleaning: a novel concept evaluated for front end of line applications
Vos, Ingrid; Peeters, Stefan; Verbeeck, Rita; Boullart, Werner; Vertommen, Johan (2008) -
Copper CMP challenges for ULK and advanced barriers
Vos, Ingrid (2002) -
Cu/LKD-5109 damascene integration demonstration using FF-02 low-k spin-on hard-mask and embedded etch-stop
Kokubo, Terukazu; Das, Arabinda; Furukawa, Yukiko; Vos, Ingrid; Iacopi, Francesca; Struyf, Herbert; Van Aelst, Joke; Maenhoudt, Mireille; Tokei, Zsolt; Vervoort, Iwan; Bender, Hugo; Stucchi, Michele; Schaekers, Marc; Boullart, Werner; Van Hove, Marleen; Vanhaelemeersch, Serge; Peterson, William; Shiota, A.; Maex, Karen (2002) -
Defect inspection of Cu metallization
Holsteyns, Frank; Carbonell, Laure; Vos, Ingrid; Vrancken, Evi; Tokei, Zsolt; Mertens, Paul (2002) -
Epitaxial diamond-hexagonal silicon nano-ribbon growth on (001) silicon
Qiu, Yang; Bender, Hugo; Richard, Olivier; Kim, Min-Soo; Van Besien, Els; Vos, Ingrid; de Potter de ten Broeck, Muriel; Mocuta, Dan; Vandervorst, Wilfried (2015) -
Epitaxial growth of diamond-hexagonal silicon on silicon
Qiu, Yang; Bender, Hugo; Richard, Olivier; Kim, Min-Soo; Vos, Ingrid; de Potter de ten Broeck, Muriel; Van Besien, Els; Mocuta, Dan; Vandervorst, Wilfried (2015) -
Impact of LKD5109 low-k to cap/liner interfaces in single damascene process and performance
Iacopi, Francesca; Patz, Michael; Vos, Ingrid; Tokei, Zsolt; Sijmus, Bram; Le, Quoc Toan; Sleeckx, Erik; Eyckens, Brenda; Struyf, Herbert; Das, Arabinda; Maex, Karen (2003) -
Integration of ALD WCN into a dual damascene oxide module
Schuhmacher, Jörg; Beyer, Gerald; Vos, Ingrid; Sutcliffe, Victor; Tokei, Zsolt; Besling, W.; Maex, Karen (2003) -
Integration of single damascene 85/85nm/L/S copper trenches in black diamond using 193nm optical lithography with dipole illumination
Van Olmen, Jan; Wu, Wen; Van Hove, Marleen; Travaly, Youssef; Brongersma, Sywert; Eyckens, Brenda; Maenhoudt, Mireille; Van Aelst, Joke; Struyf, Herbert; Demuynck, Steven; Tokei, Zsolt; Vervoort, Iwan; Sijmus, Bram; Vos, Ingrid; Ciofi, Ivan; Stucchi, Michele; Maex, Karen; Iacopi, Francesca (2003) -
Interplay between dry etch and wet clean in patterning La2O3/HfO2-containing high-k/metal gate stacks
Vos, Ingrid; Hellin, David; Vrancken, Christa; Geypen, Jef; Bender, Hugo; Vecchio, Emma; Paraschiv, Vasile; Vertommen, Johan; Boullart, Werner (2009) -
Interplay of plasma etch, strip and wet clean in patterning La2O3/HfO2-containing high-k metal gate stacks
Vos, Ingrid; Hellin, David; Boullart, Werner; Vertommen, Johan (2011) -
N10 SADP bulk FinFET depth micro loading improvement with bias pulsing plasma
Tao, Zheng; Vos, Ingrid; Altamirano Sanchez, Efrain; Xu, Kaidong; Hellin, David; Camerotto, Elisabeth; Jumel, Helene; Titus, Monica (2014) -
Post patterning meso porosity creation: a potential solution for pore sealing
Caluwaerts, Rudy; Van Hove, Marleen; Beyer, Gerald; Hoofman, Romano; Struyf, Herbert; Brom - Verheyden, Greja; Waeterloos, Joost; Tokei, Zsolt; Iacopi, Francesca; Carbonell, Laure; Le, Quoc Toan; Das, Arabinda; Vos, Ingrid; Demuynck, Steven; Maex, Karen (2003) -
Residue control in the removal of La2O3/HfO2 for high-k/metal gate formation: balancing plasma etch, strip and wet clean
Hellin, David; Vos, Ingrid; Geypen, Jef; Bender, Hugo; Paraschiv, Vasile; Boullart, Werner; Vertommen, Johan (2010) -
Resistivity of ultra-narrow Cu interconnects fabricated with ion beam lithography
Wu, Wen; Jonckheere, Rik; Tokei, Zsolt; Stucchi, Michele; Struyf, Herbert; Vos, Ingrid; Bender, Hugo; Maex, Karen (2003)