Now showing items 1-20 of 23

    • Advanced channel materials for the semiconductor industry 

      Collaert, Nadine; Alian, AliReza; Arimura, Hiroaki; Boccardi, Guillaume; Eneman, Geert; Lin, Dennis; Mitard, Jerome; Sioncke, Sonja; Waldron, Niamh; Witters, Liesbeth; Zhou, Daisy; Thean, Aaron (2015)
    • An investigation on border traps in III-V MOSFETs with an In0.53Ga0.47As channel 

      Ji, Zhigang; Zhang, Xiong; Franco, Jacopo; Gao, Rui; Duan, Meng; Zhang, Jian Fu; Zhang, Wei Dong; Kaczer, Ben; Alian, AliReza; Linten, Dimitri; Zhou, Daisy; Collaert, Nadine; De Gendt, Stefan; Groeseneken, Guido (2015)
    • Beyond-Si materials and devices for more Moore and more than Moore applications 

      Collaert, Nadine; Alian, AliReza; Arimura, Hiroaki; Boccardi, Guillaume; Eneman, Geert; Franco, Jacopo; Ivanov, Tsvetan; Lin, Dennis; Mitard, Jerome; Ramesh, Siva; Rooyackers, Rita; Schaekers, Marc; Sibaja-Hernandez, Arturo; Sioncke, Sonja; Smets, Quentin; Vais, Abhitosh; Vandooren, Anne; Veloso, Anabela; Verhulst, Anne; Verreck, Devin; Waldron, Niamh; Walke, Amey; Witters, Liesbeth; Yu, Hao; Zhou, Daisy; Thean, Aaron (2016)
    • Bias Temperature Instability (BTI) in high-mobility channel devices with high-k dielectric stacks: SiGe, Ge, and InGaAs 

      Franco, Jacopo; Kaczer, Ben; Vais, Abhitosh; Alian, AliReza; Arimura, Hiroaki; Putcha, Vamsi; Sioncke, Sonja; Waldron, Niamh; Zhou, Daisy; Nyns, Laura; Mitard, Jerome; Heyns, Marc; Groeseneken, Guido; Collaert, Nadine; Linten, Dimitri; Thean, Aaron (2016)
    • Bias Temperature Instability (BTI) in high-mobility channel devices: SiGe, Ge, and InGaAs 

      Franco, Jacopo; Kaczer, Ben; Vais, Abhitosh; Sioncke, Sonja; Arimura, Hiroaki; Putcha, Vamsi; Alian, AliReza; Waldron, Niamh; Zhou, Daisy; Nyns, Laura; Mitard, Jerome; Witters, Liesbeth; Heyns, Marc; Groeseneken, Guido; Collaert, Nadine; Linten, Dimitri (2016)
    • BTI reliability of high-mobility channel devices: SiGe, Ge and InGaAs 

      Franco, Jacopo; Kaczer, Ben; Roussel, Philippe; Cho, Moon Ju; Grasser, Tibor; Mitard, Jerome; Arimura, Hiroaki; Witters, Liesbeth; Cott, Daire; Waldron, Niamh; Zhou, Daisy; Vais, Abhitosh; Lin, Dennis; Alian, AliReza; Pourghaderi, Mohammad Ali; Martens, Koen; Sioncke, Sonja; Collaert, Nadine; Thean, Aaron; Heyns, Marc; Groeseneken, Guido (2014)
    • Buried Power Rail Integration for CMOS Scaling beyond the 3 nm Node 

      Gupta, Anshul; Tao, Zheng; Radisic, Dunja; Mertens, Hans; Varela Pedreira, Olalla; Demuynck, Steven; Boemmels, Juergen; Devriendt, Katia; Heylen, Nancy; Wang, Shouhua; Kenis, Karine; Teugels, Lieve; Sebaai, Farid; Lorant, Christophe; Jourdan, Nicolas; Chan, BT; Subramanian, Sujith; Schleicher, Filip; Peter, Antony; Rassoul, Nouredine; Siew, Yong Kong; Briggs, Basoene; Zhou, Daisy; Rosseel, Erik; Capogreco, Elena; Mannaert, Geert; Sepulveda Marquez, Alfonso; Dupuy, Emmanuel; Vandersmissen, Kevin; Chehab, Bilal; Murdoch, Gayle; Altamirano Sanchez, Efrain; Biesemans, Serge; Tokei, Zsolt; Dentoni Litta, Eugenio; Horiguchi, Naoto (2022)
    • Buried power rail integration with FinFETs for ultimate CMOS scaling 

      Gupta, Anshul; Varela Pedreira, Olalla; Arutchelvan, Goutham; Zahedmanesh, Houman; Devriendt, Katia; Hanssen, Frederik; Tao, Zheng; Ritzenthaler, Romain; Wang, Shouhua; Radisic, Dunja; Kenis, Karine; Teugels, Lieve; Sebaai, Farid; Lorant, Christophe; Jourdan, Nicolas; Chan, BT; Subramanian, Sujith; Schleicher, Filip; Hopf, Toby; Peter, Antony; Rassoul, Nouredine; Debruyn, Haroen; Demonie, Ingrid; Siew, Yong Kong; Chiarella, Thomas; Briggs, Basoene; Zhou, Daisy; Rosseel, Erik; De Keersgieter, An; Capogreco, Elena; Dentoni Litta, Eugenio; Boccardi, Guillaume; Baudot, Sylvain; Mannaert, Geert; Bontemps, Noemie; Sepulveda Marquez, Alfonso; Mertens, Sofie; Kim, Min-Soo; Dupuy, Emmanuel; Vandersmissen, Kevin; Paolillo, Sara; Cousserier, Joris; Yakimets, Dmitry; Lazzarino, Frederic; Chehab, Bilal; Favia, Paola; Drijbooms, Chris; Jaysankar, Manoj; Morin, Pierre; Altamirano Sanchez, Efrain; Mitard, Jerome; Wilson, Chris; Holsteyns, Frank; Boemmels, Juergen; Demuynck, Steven; Tokei, Zsolt; Horiguchi, Naoto (2020)
    • Buried Power Rail Integration with Si FinFETs for CMOS Scaling beyond the 5 nm Node 

      Gupta, Anshul; Mertens, Hans; Tao, Zheng; Demuynck, Steven; Boemmels, Juergen; Arutchelvan, Goutham; Devriendt, Katia; Varela Pedreira, Olalla; Ritzenthaler, Romain; Wang, Shouhua; Radisic, Dunja; Kenis, Karine; Teugels, Lieve; Sebaai, Farid; Lorant, Christophe; Jourdan, Nicolas; Chan, BT; Zahedmanesh, Houman; Subramanian, Sujith; Schleicher, Filip; Hopf, Toby; Peter, Antony; Rassoul, Nouredine; Debruyn, Haroen; Demonie, Ingrid; Siew, Yong Kong; Chiarella, Thomas; Briggs, Basoene; Zhou, Daisy; Rosseel, Erik; De Keersgieter, An; Capogreco, Elena; Dentoni Litta, Eugenio; Boccardi, Guillaume; Baudot, Sylvain; Mannaert, Geert; Bontemps, N.; Sepulveda Marquez, Alfonso; Mertens, Sofie; Kim, Min Soo; Dupuy, Emmanuel; Vandersmissen, Kevin; Paolillo, Sara; Yakimets, Dmitry; Chehab, Bilal; Favia, Paola; Drijbooms, Chris; Cousserier, Joris; Jaysankar, Manoj; Lazzarino, Frederic; Morin, Pierre; Altamirano Sanchez, Efrain; Mitard, Jerome; Wilson, Chris; Holsteyns, Frank; Tokei, Zsolt; Horiguchi, Naoto (2020)
    • Characterization of oxide defects in InGaAs MOS gate stacks for high-mobility n-channel MOSFETs 

      Franco, Jacopo; Putcha, Vamsi; Vais, Abhitosh; Sioncke, Sonja; Waldron, Niamh; Zhou, Daisy; Rzepa, Gerhard; Roussel, Philippe; Groeseneken, Guido; Heyns, Marc; Collaert, Nadine; Linten, Dimitri; Grasser, Tibor; Kaczer, Ben (2017)
    • Demonstration of an InGaAs gate stack with sufficient PBTI reliability by thermal budget optimization, nitridation, high-k material choice, and interface dipole 

      Franco, Jacopo; Vais, Abhitosh; Sioncke, Sonja; Putcha, Vamsi; Kaczer, Ben; Shie, Bo-Shiuan; Shi, Xiaoping; Reyhaneh, Mahlouji; Nyns, Laura; Zhou, Daisy; Waldron, Niamh; Maes, Jan; Xie, Qi; Givens, M.; Tang, F.; Jiang, X.; Arimura, Hiroaki; Schram, Tom; Ragnarsson, Lars-Ake; Sibaja-Hernandez, Arturo; Hellings, Geert; Horiguchi, Naoto; Heyns, Marc; Groeseneken, Guido; Linten, Dimitri; Collaert, Nadine; Thean, Aaron (2016)
    • Enabling CD SEM metrology for 5nm technology node and beyond 

      Lorusso, Gian; Ohashi, Takeyoshi; Yamaguchi, Astuko; Inoue, Osamu; Sutani, Takumichi; Horiguchi, Naoto; Boemmels, Juergen; Wilson, Chris; Briggs, Basoene; Tan, Chi Lim; Raymaekers, Tom; Delhougne, Romain; Van den Bosch, Geert; Di Piazza, Luca; Kar, Gouri Sankar; Furnemont, Arnaud; Fantini, Andrea; Donadio, Gabriele Luca; Souriau, Laurent; Crotti, Davide; Yasin, Farrukh; Appeltans, Raf; Rao, Siddharth; De Simone, Danilo; Rincon Delgadillo, Paulina; Leray, Philippe; Charley, Anne-Laure; Zhou, Daisy; Veloso, Anabela; Collaert, Nadine; Hasumi, Kazuhisa; Koshihara, Shunsuke; Ikota, Masami; Okagawa, Yutaka; Ishimoto, Toru (2017)
    • ESD characterization of planar InGaAs devices 

      Ji, Zhigang; Linten, Dimitri; Boschke, Roman; Hellings, Geert; Chen, Shih-Hung; Alian, AliReza; Zhou, Daisy; Mols, Yves; Ivanov, Tsvetan; Franco, Jacopo; Kaczer, Ben; Zhang, X.; Gao, R.; Zhang, J.F.; Zhang, W.; Collaert, Nadine; Groeseneken, Guido (2015)
    • FinFETs with Thermally Stable RMG Gate Stack for Future DRAM Peripheral Circuits 

      Capogreco, Elena; Arimura, Hiroaki; Ritzenthaler, Romain; Brus, Stephan; Oniki, Yusuke; Dupuy, Emmanuel; Sebaai, Farid; Radisic, Dunja; Chan, BT; Zhou, Daisy; Machkaoutsan, V.; Yoon, S.; Itokawa, H.; Yamaguchi, M.; Gao, Z.; Fazan, P.; Chen, Y.; Subramanian, Sujith; Ragnarsson, Lars-Ake; Spessot, Alessio; Dentoni Litta, Eugenio (2022)
    • Gate-all-around InGaAs nanowire FETs with peak transconductance of 2200 μS/μm at 50nm Lg using a replacement fin RMG flow 

      Waldron, Niamh; Sioncke, Sonja; Franco, Jacopo; Nyns, Laura; Vais, Abhitosh; Zhou, Daisy; Lin, Dennis; Boccardi, Guillaume; Sebaai, Farid; Xie, Qi; Givens, M.; Tang, F.; Jiang, X.; Chiu, Eddie; Opdebeeck, Ann; Merckling, Clement; Maes, Jan; van Dorp, Dennis; Teugels, Lieve; Sibaja-Hernandez, Arturo; De Meyer, Kristin; Barla, Kathy; Collaert, Nadine; Thean, Aaron (2015)
    • In0.53Ga0.47As quantum-well MOSFET with source-drain regrowth for low power logic applications 

      Zhou, Daisy; Alian, AliReza; Mols, Yves; Rooyackers, Rita; Lin, Dennis; Ivanov, Tsvetan; Pourghaderi, Mohammad Ali; Collaert, Nadine; Thean, Aaron (2014)
    • Reliability challenges of high mobility channel technologies: SiGe, Ge and InGaAs 

      Franco, Jacopo; Kaczer, Ben; Roussel, Philippe; Cho, Moon Ju; Grasser, Tibor; Arimura, Hiroaki; Cott, Daire; Mitard, Jerome; Witters, Liesbeth; Waldron, Niamh; Zhou, Daisy; Alian, AliReza; Vais, Abhitosh; Lin, Dennis; Martens, Koen; Pourghaderi, Mohammad Ali; Sioncke, Sonja; Collaert, Nadine; Thean, Aaron; Heyns, Marc; Groeseneken, Guido (2014)
    • Scalability of InGaAs nanowires demonstrating wire width down to 7nm and Lg down to 30nm fabricated on a 300mm Si platform 

      Zhou, Daisy; Waldron, Niamh; Boccardi, Guillaume; Sebaai, Farid; Merckling, Clement; Eneman, Geert; Sioncke, Sonja; Nyns, Laura; Opdebeeck, Ann; Maes, Jan; Xie, Qi; Givens, M; Tang, F; Jiang, X; Guo, Weiming; Kunert, Bernardette; Teugels, Lieve; Devriendt, Katia; Sibaja-Hernandez, Arturo; Franco, Jacopo; van Dorp, Dennis; Barla, Kathy; Collaert, Nadine; Thean, Aaron (2016)
    • Scaled, novel effective workfunction metal gate stacks for advanced Low-VT, gate-all-around vertically stacked nanosheet FETs with reduced vertical distance between sheets 

      Veloso, Anabela; Simoen, Eddy; Oliveira, Alberto; Vaisman Chasin, Adrian; Chen, S.-C.; Lin, Y.; Miyashita, T.; Kim, M.; Jang, Doyoung; Ritzenthaler, Romain; Zhou, Daisy; Mertens, Hans; Pena, Vanessa; Santoro, Gaetano; Kenis, Karine; Sebaai, Farid; Mannaert, Geert; Devriendt, Katia; Hopf, Toby; Versluijs, Janko; Richard, Olivier; Machillot, Jerome; Yoshida, Naomi; Horiguchi, Naoto (2019)
    • Selective growth of InGaAs on Si(001) by MOVPE: study of strain relaxation, facet formation and their relevance 

      Guo, Weiming; Waldron, Niamh; Mols, Yves; Veloso, Anabela; Zhou, Daisy; Collaert, Nadine; Thean, Aaron; Langer, Robert; Barla, Kathy; Kunert, Bernardette; Date, Lucien; Bao, Xinyu; Carlson, David; Sanchez, Errol (2016)