Repository logo Institutional repository
  • Communities & Collections
  • Scientific publicationsOpen knowledge
Search repository
High contrast
  1. Home
  2. Browse by Author

Browsing by Author "Horiguchi, Naoto"

Filter results by typing the first few letters
Now showing 1 - 20 of 460
  • Results per page
  • Sort Options
  • Loading...
    Thumbnail Image
    Publication

    1.5×10-9 Ω·cm² Contact Resistivity on Highly Doped Si:P Using Ge Pre-amorphization and Ti Silicidation

    Yu, Hao  
    ;
    Schaekers, Marc  
    ;
    Rosseel, Erik  
    ;
    Peter, Antony  
    ;
    Lee, Joon-Gon
    ;
    Song, Woo-Bin
    Proceedings paper
    2015, IEEE International Electron Devices Meeting - IEDM, 7/12/2015, p.592-595
  • Loading...
    Thumbnail Image
    Publication

    1/f noise analysis of replacement metal gate bulk p-type fin field effect transistor

    Lee, Jae Woo
    ;
    Cho, Moon Ju
    ;
    Simoen, Eddy  
    ;
    Ritzenthaler, Romain  
    ;
    Togo, Mitsuhiro
    Journal article
    2013-03, Applied Physics Letters, (102) 7, p.73503
  • Loading...
    Thumbnail Image
    Publication

    15nm-WFIN high-performance low-defectivity strained-germanium pFinFETs with low temperature STI-last process

    Mitard, Jerome  
    ;
    Witters, Liesbeth  
    ;
    Loo, Roger  
    ;
    Lee, Seung Hun
    ;
    Sun, J.W.
    ;
    Franco, Jacopo  
    Proceedings paper
    2014, Symposium on VLSI Technology, 9/06/2014, p.138-139
  • Loading...
    Thumbnail Image
    Publication

    1mA/μm-ION strained SiGe45%-IFQW pFETs with raised and embedded S/D

    Mitard, Jerome  
    ;
    Witters, Liesbeth  
    ;
    Hellings, Geert  
    ;
    Krom, Raymond
    ;
    Franco, Jacopo  
    ;
    Eneman, Geert  
    Proceedings paper
    2011, Symposium on VLSI Technology, 13/06/2011, p.134-135
  • Loading...
    Thumbnail Image
    Publication

    2D and 3D Fully-depleted extension-less devices for advanced logic and memory applications

    Veloso, Anabela  
    ;
    De Keersgieter, An  
    ;
    Aoulaiche, Marc
    ;
    Jurczak, Gosia  
    ;
    Thean, Aaron  
    Proceedings paper
    2012-09, International Conference on Solid State Devices and Materials - SSDM, 25/09/2012
  • Loading...
    Thumbnail Image
    Publication

    3D FinFET gate etch for advanced CMOS scaling

    Dupuy, Emmanuel  
    ;
    Altamirano Sanchez, Efrain  
    ;
    Marinov, Daniil
    ;
    Hody, Hubert  
    ;
    Mertens, Hans  
    Oral presentation
    2019, 11th PESM
  • Loading...
    Thumbnail Image
    Publication

    3D sequential CMOS top tier devices demonstration using a low temperature Smart Cu (TM) Si layer transfer

    Besnard, Guillaume
    ;
    Radu, Ionut
    ;
    Vandooren, Anne  
    ;
    Wu, Zhicheng  
    ;
    Franco, Jacopo  
    ;
    Li, Waikin  
    Proceedings paper
    2021, 26th Silicon Nanoelectronics Workshop, JUN 13, 2021, p.47-48
  • Loading...
    Thumbnail Image
    Publication

    3D sequential low temperature top tier devices using dopant activation with excimer laser anneal and strained silicon as performance boosters

    Vandooren, Anne  
    ;
    Wu, Zhicheng  
    ;
    Parihar, Narendra  
    ;
    Franco, Jacopo  
    ;
    Parvais, Bertrand  
    Proceedings paper
    2020, IEEE Symposium on VLSI Technology and Circuits, JUN 15-19, 2020
  • Loading...
    Thumbnail Image
    Publication

    3D simulation for melt laser anneal integration in FinFET's contact

    Tabata, Toshiyuki
    ;
    Curvers, Benoit
    ;
    Huet, Karim
    ;
    Chew, Soon Aik
    ;
    Everaert, Jean-Luc
    Journal article
    2020, IEEE Journal of the Electron Devices Society, 8, p.1323-1327
  • Loading...
    Thumbnail Image
    Publication

    3D-carrier profiling and parasitic resistance analysis in vertically stacked gate-all-around Si nanowire CMOS transistors

    Eyben, Pierre  
    ;
    Ritzenthaler, Romain  
    ;
    De Keersgieter, An  
    ;
    Chiarella, Thomas  
    ;
    Veloso, Anabela  
    Proceedings paper
    2019, IEEE International Electron Devices Meeting - IEDM 2019, 7/12/2019, p.238-241
  • Loading...
    Thumbnail Image
    Publication

    3D-carrier profiling in FinFETs using scanning spreading resistance microscopy

    Mody, Jay
    ;
    Zschaetzsch, Gerd
    ;
    Koelling, Sebastian
    ;
    De Keersgieter, An  
    ;
    Eneman, Geert  
    Proceedings paper
    2011, IEEE International Electron Devices Meeting - IEDM, 5/12/2011, p.119-122
  • Loading...
    Thumbnail Image
    Publication

    80 nm tall thermally stable cost effective FinFETs for advanced dynamic random access memory periphery devices for artificial intelligence/machine learning and automotive applications

    Spessot, Alessio  
    ;
    Ritzenthaler, Romain  
    ;
    Dentoni Litta, Eugenio  
    ;
    Dupuy, Emmanuel  
    Journal article
    2021, JAPANESE JOURNAL OF APPLIED PHYSICS, (60) SB, p.SBBB06
  • Loading...
    Thumbnail Image
    Publication

    80nm tall thermally stable cost effective FinFETs for advanced DRAM periphery devices for AI/ML and Automotive applications

    Spessot, Alessio  
    ;
    Ritzenthaler, Romain  
    ;
    Dentoni Litta, Eugenio  
    ;
    Dupuy, Emmanuel  
    Proceedings paper
    2020, 2020 International Conferene on Solid State Devices and Materials - SSDM, 27/09/2020, p.B-10-03
  • Loading...
    Thumbnail Image
    Publication

    85nm-wide 1.5mA/μm-ION IFQW SiGe-pFET: raised vs embedded Si0.75Ge0.25 S/D benchmarking and in-depth hole transport study

    Mitard, Jerome  
    ;
    Witters, Liesbeth  
    ;
    Eneman, Geert  
    ;
    Hellings, Geert  
    ;
    Pantisano, Luigi
    Proceedings paper
    2012, Symposium on VLSI Technology - VLSIT, 12/06/2012, p.163-164
  • Loading...
    Thumbnail Image
    Publication

    A 2nd generation of 14/16nm-node compatible strained-Ge pFINFET with improved performance with respect to advanced Si-channel FinFETs

    Mitard, Jerome  
    ;
    Witters, Liesbeth  
    ;
    Sasaki, Yuichiro
    ;
    Arimura, Hiroaki  
    ;
    Schulze, Andreas
    Proceedings paper
    2016-06, IEEE Symposium on VLSI Technology, 13/06/2016, p.34-35
  • Loading...
    Thumbnail Image
    Publication

    A comparison of arsenic and phosphorus extension by room temperature and hot ion implantation for NMOS Si bulk-FinFET at N7 (7nm) technology relevant fin dimensions

    Sasaki, Yuichiro
    ;
    Ritzenthaler, Romain  
    ;
    De Keersgieter, An  
    ;
    Chiarella, Thomas  
    ;
    Kubicek, Stefan  
    Proceedings paper
    2015-06, IEEE Symposium on VLSI Technology, 15/06/2015, p.30-31
  • Loading...
    Thumbnail Image
    Publication

    A DRAM compatible Cu contact using self-aligned Ta-silicide and Ta-barrier

    Zhao, Chao
    ;
    Ahn, Jae Young
    ;
    Horiguchi, Naoto  
    ;
    Demuynck, Steven  
    ;
    Tokei, Zsolt  
    Journal article
    2008, Microelectronic Engineering, (85) 10, p.2009-2012
  • Loading...
    Thumbnail Image
    Publication

    A low-power HKMG CMOS platform compatible with DRAM node 2x and beyond

    Ritzenthaler, Romain  
    ;
    Schram, Tom  
    ;
    Spessot, Alessio  
    ;
    Caillat, Christian
    ;
    Aoulaiche, Marc
    Journal article
    2014, IEEE Transactions on Electron Devices, (61) 8, p.2935-2943
  • Loading...
    Thumbnail Image
    Publication

    A low-voltage biasing scheme for aggressively scaled bulk FinFET 1T-DRAM featuring 10s retention at 85°C

    Collaert, Nadine  
    ;
    Aoulaiche, Marc
    ;
    De Wachter, Bart  
    ;
    Rakowski, Michal  
    ;
    Redolfi, Augusto  
    Proceedings paper
    2010, IEEE Symposium on VLSI Technology, 15/06/2010, p.161-162
  • Loading...
    Thumbnail Image
    Publication

    A new high-k/metal gate CMOS integration scheme (Diffusion and Gate Replacement) suppressing gate height asymmetry and compatible with high-thermal budget memory technologies

    Ritzenthaler, Romain  
    ;
    Schram, Tom  
    ;
    Spessot, Alessio  
    ;
    Caillat, Christian
    ;
    Cho, Moon Ju
    Proceedings paper
    2014, International Electron Devices Meeting - IEDM, 15/12/2014, p.772-775
  • «
  • 1 (current)
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10
  • ...
  • 23
  • »

Follow imec on

VimeoLinkedInFacebook

The repository

  • Contact us
  • Policy
  • About imec
Privacy statement | Cookie settings