Repository logo Institutional repository
  • Communities & Collections
  • Browse
  • Site
Search repository
High contrast
  1. Home
  2. Browse by Author

Browsing by Author "Tan, Chi Lim"

Filter results by typing the first few letters
Now showing 1 - 20 of 20
  • Results Per Page
  • Sort Options
  • Loading...
    Thumbnail Image
    Publication

    15nm HP patterning with EUV and SADP: key contributors for improvement of LWR, LER, and CDU

    Xu, Kaidong
    ;
    Souriau, Laurent  
    ;
    Hellin, David  
    ;
    Versluijs, Janko  
    ;
    Wong, Patrick  
    Proceedings paper
    2013, Advanced Etch Technology for Nanopatterning II, 23/02/2013, p.86850C
  • Loading...
    Thumbnail Image
    Publication

    3D measurement of 3D NAND memory hole with CD-SEM and tilted FIB

    Ohashi, Takeyoshi
    ;
    Yamaguchi, Atsuko
    ;
    Hasumi, Kazuhisa
    ;
    Ikota, Masami
    ;
    Tan, Chi Lim
    Proceedings paper
    2017, 43rd International Conference on Micro and Nanoengineering - MNE, 18/09/2017, p.OC073
  • Loading...
    Thumbnail Image
    Publication

    Analysis of performance/variability trade-off in Macaroni-type 3-D NAND Memory

    Congedo, Gabriele
    ;
    Arreghini, Antonio  
    ;
    Liu, Lifang
    ;
    Capogreco, Elena  
    ;
    Lisoni, Judit
    ;
    Huet, Karim
    Proceedings paper
    2014, IEEE 6th International Memory Workshop, 18/05/2014, p.123-126
  • Loading...
    Thumbnail Image
    Publication

    Enabling CD SEM metrology for 5nm technology node and beyond

    Lorusso, Gian  
    ;
    Ohashi, Takeyoshi
    ;
    Yamaguchi, Astuko
    ;
    Inoue, Osamu
    ;
    Sutani, Takumichi
    Proceedings paper
    2017, Metrology, Inspection, and Process Control for Microlithography XXXI, 26/02/2017, p.1014512
  • Loading...
    Thumbnail Image
    Publication

    Experimental and theoretical verification of channel conductivity degradation due to grain boundaries and defects in 3D NAND

    Subirats, Alexandre
    ;
    Arreghini, Antonio  
    ;
    Capogreco, Elena  
    ;
    Delhougne, Romain  
    ;
    Tan, Chi Lim
    Proceedings paper
    2017, IEEE International Electron Devices Meeting - IEDM, 2/12/2017, p.517-520
  • Loading...
    Thumbnail Image
    Publication

    Experimental study of programming saturation in low-coupling planar high-k/metal gate Nand flash memory cells using a dedicated test structure

    Blomme, Pieter  
    ;
    Tan, Chi Lim
    ;
    Souriau, Laurent  
    ;
    Versluijs, Janko  
    ;
    Van den Bosch, Geert  
    Proceedings paper
    2014, IEEE 6th International Memory Workshop - IMW, 18/05/2014, p.1-4
  • Loading...
    Thumbnail Image
    Publication

    Feasibility of InxGa1-xAs high mobility channel for 3-D NAND memory

    Capogreco, Elena  
    ;
    Subirats, Alexandre
    ;
    Lisoni, Judit Gloria
    ;
    Arreghini, Antonio  
    Journal article
    2017, IEEE Transactions on Electron Devices, (64) 1, p.130-136
  • Loading...
    Thumbnail Image
    Publication

    In depth analysis of 3D NAND enablers in gate stack integration and demonstration in 3D devices

    Tan, Chi Lim
    ;
    Lavizzari, Simone
    ;
    Blomme, Pieter  
    ;
    Breuil, Laurent  
    ;
    Vecchio, Emma  
    ;
    Sebaai, Farid  
    Proceedings paper
    2017, International Memory Workshop, 14/05/2017, p.1-4
  • Loading...
    Thumbnail Image
    Publication

    Integration of a multi-layer inter-gate dielectric with hybrid floating gate towards 10nm planar NAND flash

    Breuil, Laurent  
    ;
    Blomme, Pieter  
    ;
    Tan, Chi Lim
    ;
    Lisoni, Judit
    ;
    Souriau, Laurent  
    ;
    Zahid, Mohammed
    Proceedings paper
    2014, 6th International Memory Workshop, 18/05/2014, p.51-54
  • Loading...
    Thumbnail Image
    Publication

    Intergate dielectric engineering towards large P/E window planar NAND flash

    Breuil, Laurent  
    ;
    Lisoni, Judit
    ;
    Blomme, Pieter  
    ;
    Tan, Chi Lim
    ;
    Van den Bosch, Geert  
    ;
    Van Houdt, Jan  
    Journal article
    2015, IEEE Transactions on Electron Devices, (62) 5, p.1484-1490
  • Loading...
    Thumbnail Image
    Publication

    Junctionless array with ultrathin poly\TiN floating gate and HfAlO based intergate dielectric for sub-15nm planar NAND Flash

    Blomme, Pieter  
    ;
    Versluijs, Janko  
    ;
    Ercken, Monique  
    ;
    Souriau, Laurent  
    ;
    Hody, Hubert  
    ;
    Vecchio, Emma  
    Proceedings paper
    2016-05, International Memory Workshop - IMW, 15/05/2016, p.113-116
  • Loading...
    Thumbnail Image
    Publication

    Key contributors for improvement of line width roughness, line edge roughness, and critical dimension uniformity: 15 nm half-pitch patterning with extreme ultraviolet and self-aligned double patterning

    Xu, Kaidong
    ;
    Souriau, Laurent  
    ;
    Hellin, David  
    ;
    Versluijs, Janko  
    ;
    Wong, Patrick  
    Journal article
    2013-09, Journal of Micro/Nanolithography MEMS and MOEMS, (12) 4, p.41302
  • Loading...
    Thumbnail Image
    Publication

    Laser thermal anneal of polysilicon channel to boost 3D memory performance

    Lisoni, Judit
    ;
    Arreghini, Antonio  
    ;
    Congedo, Gabriele
    ;
    Toledano Luque, Maria
    ;
    Toqué-Trésonne, Inès
    Proceedings paper
    2014, Symposium on VLSI Technology, 9/04/2014, p.24-25
  • Loading...
    Thumbnail Image
    Publication

    MOVPE In1-xGaxAs high mobility channel for 3-D NAND memory

    Capogreco, Elena  
    ;
    Lisoni, Judit
    ;
    Arreghini, Antonio  
    ;
    Subirats, Alexandre
    ;
    Kunert, Bernardette  
    Proceedings paper
    2015, International Electron Devices Meeting - IEDM, 7/12/2015, p.40-43
  • Loading...
    Thumbnail Image
    Publication

    Passivation of poly-Si channel vertical NAND devices du high pressure annealing

    Breuil, Laurent  
    ;
    lisoni, Judit, G.
    ;
    Delhougne, Romain  
    ;
    Tan, Chi Lim
    ;
    Van Houdt, Jan  
    Proceedings paper
    2016-05, International Memory Workshop - IMW, 15/05/2016, p.88-91
  • Loading...
    Thumbnail Image
    Publication

    Precise measurement of thin film thickness in 3D-NAND device with CD-SEM

    Ohashi, Takeyoshi
    ;
    Atsuko, Yamaguchi
    ;
    Kobayashi, Takashi
    ;
    Inoue, Osamu
    ;
    Hasumi, Kazuhisa
    Oral presentation
    2016, 42nd Micro and Nano Engineering Conference
  • Loading...
    Thumbnail Image
    Publication

    Precise measurement of thin-film thickness in 3D-NAND device with CD-SEM

    Ohashi, Takeyoshi
    ;
    Yamaguchi, Atsuko
    ;
    Hasumi, Kazuhisa
    ;
    Ikota, Masami
    ;
    Lorusso, Gian  
    Journal article
    2018, Journal of Micro/Nanolithography MEMS and MOEMS, (17) 2, p.24002
  • Loading...
    Thumbnail Image
    Publication

    SiGe channel formation for 3D vertical channel transistor applications

    Capogreco, Elena  
    ;
    Lisoni, Judit
    ;
    Hikavyy, Andriy  
    ;
    Arreghini, Antonio  
    ;
    Vecchio, Emma  
    Meeting abstract
    2014, E-MRS Fall Meeting J: Alternative Integration in Si Microelectronics, 15/09/2014, p.6 2
  • Loading...
    Thumbnail Image
    Publication

    Stacked-etch induced charge loss in hybrid floating gate cells using high- $j inter-gate dielectric

    Zahid, Mohammed
    ;
    Breuil, Laurent  
    ;
    Degraeve, Robin  
    ;
    Blomme, Pieter  
    ;
    Tan, Chi Lim
    ;
    Lisoni, Judit
    Proceedings paper
    2014, International Reliability Physics Symposium - IRPS, 1/06/2014, p.MY-1.1-MY-1.5
  • Loading...
    Thumbnail Image
    Publication

    Statistical spectroscopy of switching traps in deeply scaled vertical poly-Si channel for 3D memories

    Toledano Luque, Maria
    ;
    Degraeve, Robin  
    ;
    Roussel, Philippe  
    ;
    Luong, Vu  
    ;
    Tang, Baojun
    ;
    Lisoni, Judit
    Proceedings paper
    2013, International Electron Devices Meeting - IEDM, 9/12/2013, p.562-565

Follow imec on

VimeoLinkedInFacebook

The repository

  • Contact us
  • Policy
  • About imec
Privacy statement | Cookie settings