Now showing items 1-20 of 78

    • 11-Megapixel CMOS-integrated SiGe micromirror arrays for high-end applications 

      Witvrouw, Ann; Haspeslagh, Luc; Varela Pedreira, Olalla; De Coster, Jeroen; De Wolf, Ingrid; Tilmans, Harrie; Bearda, Twan; Schlatmann, Bart; van Bommel, Mark; de Nooijer, Christine; Magnee, P.H.C.; Lous, E.J.; Hagting, Marco; Lauria, John; Vanneer, Roel; van Drieenhuizen, Bert (2010)
    • 21 nm Pitch dual-damascene BEOL process integration with full barrierless Ru metallization 

      Vega Gonzalez, Victor; Wilson, Chris; Paolillo, Sara; Decoster, Stefan; Mao, Ming; Versluijs, Janko; Blanco, Victor; Kesters, Els; Le, Quoc Toan; Lorant, Christophe; Varela Pedreira, Olalla; Lesniewska, Alicja; Heylen, Nancy; El-Mekki, Zaid; van der Veen, Marleen; Webers, Tomas; Vats, Hemant; Rynders, Luc; Cupak, Miroslav; Lee, Jae Uk; Drissi, Youssef; Halipre, Luc; Charley, Anne-Laure; Verdonck, Patrick; Witters, Thomas; Van Gompel, Sander; Kimura, Yosuke; Jourdan, Nicolas; Ciofi, Ivan; Contino, Antonino; Boccardi, Guillaume; Lariviere, Stephane; De Wachter, Bart; Vancoille, Eric; Lazzarino, Frederic; Ercken, Monique; Kim, Ryan Ryoung han; Trivkovic, Darko; Croes, Kristof; Leray, Philippe; Pardons, Katrien; Barla, Kathy; Tokei, Zsolt (2019)
    • A combined modelling approach to design test structures to study thermomigration in Cu interconnects 

      Ding, Youqi; Lofrano, Melina; Varela Pedreira, Olalla; Zahedmanesh, Houman; Croes, Kristof; De Wolf, Ingrid (2022)
    • A MEMS 0-level packaging technology based on CuSn/Cu chip capping bonding 

      Bogaerts, Lieve; Phommahaxay, Alain; Rottenberg, Xavier; Naito, Yasyuki; De Coster, Jeroen; Varela Pedreira, Olalla; Van Hoovels, Nele; Cherman, Vladimir; Helin, Philippe; Onishi, K.; Tilmans, Harrie (2011)
    • A novel electromigration characterization method based on low-frequency noise measurements 

      Beyne, Sofie; Varela Pedreira, Olalla; De Wolf, Ingrid; Tokei, Zsolt; Croes, Kristof (2019)
    • Assessment of critical Co electromigration parameters 

      Varela Pedreira, Olalla; Lofrano, Melina; Zahedmanesh, Houman; Roussel, Philippe; van der Veen, Marleen; Simons, Veerle; Chery, Emmanuel; Ciofi, Ivan; Croes, Kristof (2022)
    • Atomic layer deposition of ruthenium with TiN interface for sub-10nm advanced interconnects beyond copper 

      Wen, Liang Gong; Roussel, Philippe; Varela Pedreira, Olalla; Briggs, Basoene; Groven, Benjamin; Dutta, Shibesh; Popovici, Mihaela Ioana; Heylen, Nancy; Ciofi, Ivan; Vanstreels, Kris; Osterberg, Frederik; Hansen, Ole; Petersen, Dirch H.; Opsomer, Karl; Detavernie, Christophe; Wilson, Chris; Van Elshocht, Sven; Croes, Kristof; Bommels, Jurgen; Tokei, Zsolt; Adelmann, Christoph (2016-09)
    • Barrier/liner stacks for scaling the Cu interconnect metallization 

      van der Veen, Marleen; Jourdan, Nicolas; Vega Gonzalez, Victor; Wilson, Chris; Heylen, Nancy; Varela Pedreira, Olalla; Struyf, Herbert; Croes, Kristof; Boemmels, Juergen; Tokei, Zsolt (2016)
    • Buried Power Rail Integration for CMOS Scaling beyond the 3 nm Node 

      Gupta, Anshul; Tao, Zheng; Radisic, Dunja; Mertens, Hans; Varela Pedreira, Olalla; Demuynck, Steven; Boemmels, Juergen; Devriendt, Katia; Heylen, Nancy; Wang, Shouhua; Kenis, Karine; Teugels, Lieve; Sebaai, Farid; Lorant, Christophe; Jourdan, Nicolas; Chan, BT; Subramanian, Sujith; Schleicher, Filip; Peter, Antony; Rassoul, Nouredine; Siew, Yong Kong; Briggs, Basoene; Zhou, Daisy; Rosseel, Erik; Capogreco, Elena; Mannaert, Geert; Sepulveda Marquez, Alfonso; Dupuy, Emmanuel; Vandersmissen, Kevin; Chehab, Bilal; Murdoch, Gayle; Altamirano Sanchez, Efrain; Biesemans, Serge; Tokei, Zsolt; Dentoni Litta, Eugenio; Horiguchi, Naoto (2022)
    • Buried power rail integration with FinFETs for ultimate CMOS scaling 

      Gupta, Anshul; Varela Pedreira, Olalla; Arutchelvan, Goutham; Zahedmanesh, Houman; Devriendt, Katia; Hanssen, Frederik; Tao, Zheng; Ritzenthaler, Romain; Wang, Shouhua; Radisic, Dunja; Kenis, Karine; Teugels, Lieve; Sebaai, Farid; Lorant, Christophe; Jourdan, Nicolas; Chan, BT; Subramanian, Sujith; Schleicher, Filip; Hopf, Toby; Peter, Antony; Rassoul, Nouredine; Debruyn, Haroen; Demonie, Ingrid; Siew, Yong Kong; Chiarella, Thomas; Briggs, Basoene; Zhou, Daisy; Rosseel, Erik; De Keersgieter, An; Capogreco, Elena; Dentoni Litta, Eugenio; Boccardi, Guillaume; Baudot, Sylvain; Mannaert, Geert; Bontemps, Noemie; Sepulveda Marquez, Alfonso; Mertens, Sofie; Kim, Min-Soo; Dupuy, Emmanuel; Vandersmissen, Kevin; Paolillo, Sara; Cousserier, Joris; Yakimets, Dmitry; Lazzarino, Frederic; Chehab, Bilal; Favia, Paola; Drijbooms, Chris; Jaysankar, Manoj; Morin, Pierre; Altamirano Sanchez, Efrain; Mitard, Jerome; Wilson, Chris; Holsteyns, Frank; Boemmels, Juergen; Demuynck, Steven; Tokei, Zsolt; Horiguchi, Naoto (2020)
    • Buried Power Rail Integration with Si FinFETs for CMOS Scaling beyond the 5 nm Node 

      Gupta, Anshul; Mertens, Hans; Tao, Zheng; Demuynck, Steven; Boemmels, Juergen; Arutchelvan, Goutham; Devriendt, Katia; Varela Pedreira, Olalla; Ritzenthaler, Romain; Wang, Shouhua; Radisic, Dunja; Kenis, Karine; Teugels, Lieve; Sebaai, Farid; Lorant, Christophe; Jourdan, Nicolas; Chan, BT; Zahedmanesh, Houman; Subramanian, Sujith; Schleicher, Filip; Hopf, Toby; Peter, Antony; Rassoul, Nouredine; Debruyn, Haroen; Demonie, Ingrid; Siew, Yong Kong; Chiarella, Thomas; Briggs, Basoene; Zhou, Daisy; Rosseel, Erik; De Keersgieter, An; Capogreco, Elena; Dentoni Litta, Eugenio; Boccardi, Guillaume; Baudot, Sylvain; Mannaert, Geert; Bontemps, N.; Sepulveda Marquez, Alfonso; Mertens, Sofie; Kim, Min Soo; Dupuy, Emmanuel; Vandersmissen, Kevin; Paolillo, Sara; Yakimets, Dmitry; Chehab, Bilal; Favia, Paola; Drijbooms, Chris; Cousserier, Joris; Jaysankar, Manoj; Lazzarino, Frederic; Morin, Pierre; Altamirano Sanchez, Efrain; Mitard, Jerome; Wilson, Chris; Holsteyns, Frank; Tokei, Zsolt; Horiguchi, Naoto (2020)
    • Buried Power Rail Metal exploration towards the 1 nm Node 

      Gupta, Anshul; Radisic, Dunja; Maes, J.W.; Varela Pedreira, Olalla; Soulie, Jean-Philippe; Jourdan, Nicolas; Mertens, Hans; Bandyopadhyay, Sudip; Le, Quoc Toan; Pacco, Antoine; Heylen, Nancy; Vandersmissen, Kevin; Devriendt, Katia; Zhu, C.; Datta, S.; Sebaai, Farid; Wang, S.; Mousa, M.; Lee, J.; Geypen, Jef; De Wachter, Bart; Chehab, Bilal; Salahuddin, Shairfe Muhammad; Murdoch, Gayle; Biesemans, Serge; Tokei, Zsolt; Dentoni Litta, Eugenio; Horiguchi, Naoto (2021)
    • Buried Power Rail Scaling and Metal Assessment for the 3 nm Node and Beyond 

      Gupta, Anshul; Varela Pedreira, Olalla; Tao, Zheng; Mertens, Hans; Radisic, Dunja; Jourdan, Nicolas; Devriendt, Katia; Heylen, Nancy; Wang, Shouhua; Chehab, Bilal; Jang, Doyoung; Hellings, Geert; Sebaai, Farid; Lorant, Christophe; Teugels, Lieve; Peter, Antony; Chan, BT; Schleicher, Filip; Demonie, Ingrid; Marien, Philippe; Sepulveda Marquez, Alfonso; Richard, Olivier; Nagesh, Nishanth; Lesniewska, Alicja; Lazzarino, Frederic; Ryckaert, Julien; Morin, Pierre; Altamirano Sanchez, Efrain; Murdoch, Gayle; Boemmels, Juergen; Demuynck, Steven; Na, Myung Hee; Tokei, Zsolt; Biesemans, Serge; Dentoni Litta, Eugenio; Horiguchi, Naoto (2020)
    • Challenges for Interconnect Reliability: From Element to System Level 

      Varela Pedreira, Olalla; Zahedmanesh, Houman; Ding, Youqi; Ciofi, Ivan; Croes, Kristof (2023)
    • CMOS-integrated poly-SiGe cantilevers with read/write system for probe storage device 

      Severi, Simone; Heck, J.; Chou, T.K.A.; Belov, N.; Park, J.S.; Harrar, D.; Jain, A.; Van Hoof, Rita; Du Bois, Bert; De Coster, Jeroen; Varela Pedreira, Olalla; Willegems, Myriam; Vaes, Jan; Jamieson, Geraldine; Haspeslagh, Luc; Adams, D.; Rao, V.; Decoutere, Stefaan; Witvrouw, Ann (2009)
    • Cobalt and Ruthenium drift in ultra-thin oxides 

      Tierno, Davide; Varela Pedreira, Olalla; Wu, Chen; Jourdan, Nicolas; Kljucar, Luka; Tokei, Zsolt; Croes, Kristof (2019)
    • Copper electromigration; prediction of scaling limits 

      Zahedmanesh, Houman; Varela Pedreira, Olalla; Wilson, Chris; Tokei, Zsolt; Croes, Kristof (2019)
    • Correlation between Cu microstructure and TSV Cu pumping 

      De Messemaeker, Joke; Varela Pedreira, Olalla; Philipsen, Harold; Beyne, Eric; De Wolf, Ingrid; Van der Donck, Tom; Croes, Kristof (2014)
    • Correlation between stress-induced leakage current and dielectric degradation in ultra-porous SiOCH low-k materials 

      Wu, Chen; Li, Yunlong; Lesniewska, Alicja; Varela Pedreira, Olalla; de Marneffe, Jean-Francois; Ciofi, Ivan; Verdonck, Patrick; Baklanov, Mikhaïl; Boemmels, Juergen; De Wolf, Ingrid; Tokei, Zsolt; Croes, Kristof (2015)
    • Cu pumping in TSVs: Effect of pre-CMP thermal budget 

      De Wolf, Ingrid; Croes, Kristof; Varela Pedreira, Olalla; Labie, Riet; Redolfi, Augusto; Van De Peer, Myriam; Vanstreels, Kris; Okoro, Chukwudi; Vandevelde, Bart; Beyne, Eric (2011)