Now showing items 1-20 of 55

    • 1/f noise analysis of replacement metal gate bulk p-type fin field effect transistor 

      Lee, Jae Woo; Cho, Moon Ju; Simoen, Eddy; Ritzenthaler, Romain; Togo, Mitsuhiro; Boccardi, Guillaume; Mitard, Jerome; Ragnarsson, Lars-Ake; Chiarella, Thomas; Veloso, Anabela; Horiguchi, Naoto; Thean, Aaron; Groeseneken, Guido (2013-03)
    • 21 nm Pitch dual-damascene BEOL process integration with full barrierless Ru metallization 

      Vega Gonzalez, Victor; Wilson, Chris; Paolillo, Sara; Decoster, Stefan; Mao, Ming; Versluijs, Janko; Blanco, Victor; Kesters, Els; Le, Quoc Toan; Lorant, Christophe; Varela Pedreira, Olalla; Lesniewska, Alicja; Heylen, Nancy; El-Mekki, Zaid; van der Veen, Marleen; Webers, Tomas; Vats, Hemant; Rynders, Luc; Cupak, Miroslav; Lee, Jae Uk; Drissi, Youssef; Halipre, Luc; Charley, Anne-Laure; Verdonck, Patrick; Witters, Thomas; Van Gompel, Sander; Kimura, Yosuke; Jourdan, Nicolas; Ciofi, Ivan; Contino, Antonino; Boccardi, Guillaume; Lariviere, Stephane; De Wachter, Bart; Vancoille, Eric; Lazzarino, Frederic; Ercken, Monique; Kim, Ryan Ryoung han; Trivkovic, Darko; Croes, Kristof; Leray, Philippe; Pardons, Katrien; Barla, Kathy; Tokei, Zsolt (2019)
    • A novel fully self-aligned SiGe:C HBT architecture featuring a single step epitaxial collector-base process 

      Donkers, Johan; Kramer, Mark; Van Huylenbroeck, Stefaan; Choi, Li Jen; Meunier-Beillard, Philippe; Boccardi, Guillaume; van Noort, W.; Hurkx, G.A.M.; Vanhoucke, Tony; Sibaja-Hernandez, Arturo; Vleugels, Frank; Winderickx, Gillis; Kunnen, Eddy; Peeters, Stefan; Baute, Debbie; De Vos, Brecht; Vandeweyer, Tom; Loo, Roger; Venegas, Rafael; Pijper, R.; Decoutere, Stefaan; Hijzen, Erwin (2007)
    • A record GmSAT/SSSAT and PBTI reliability in Si-passivated Ge nFinFETs by improved gate stack surface preparation 

      Arimura, Hiroaki; Cott, Daire; Boccardi, Guillaume; Loo, Roger; Wostyn, Kurt; Brus, Stephan; Capogreco, Elena; Opdebeeck, Ann; Witters, Liesbeth; Conard, Thierry; Suhard, Samuel; van Dorp, Dennis; Kenis, Karine; Ragnarsson, Lars-Ake; Mitard, Jerome; Holsteyns, Frank; De Heyn, Vincent; Mocuta, Dan; Collaert, Nadine; Horiguchi, Naoto (2019-06)
    • Advanced channel materials for the semiconductor industry 

      Collaert, Nadine; Alian, AliReza; Arimura, Hiroaki; Boccardi, Guillaume; Eneman, Geert; Lin, Dennis; Mitard, Jerome; Sioncke, Sonja; Waldron, Niamh; Witters, Liesbeth; Zhou, Daisy; Thean, Aaron (2015)
    • Alternative metal recess for fully-self-aligned-vias 

      Contino, Antonino; Le, Quoc Toan; Sakamoto, Kei; Schleicher, Filip; Paolillo, Sara; Pacco, Antoine; Kesters, Els; Lorant, Christophe; Murdoch, Gayle; Lariviere, Stephane; Vega Gonzalez, Victor; Versluijs, Janko; Jaenen, Patrick; Teugels, Lieve; van der Veen, Marleen; Jourdan, Nicolas; Ciofi, Ivan; Boccardi, Guillaume; Tokei, Zsolt; Wilson, Chris (2020)
    • Alternative metals: from ab initio screening to calibrated narrow line models 

      Adelmann, Christoph; Sankaran, Kiroubanand; Dutta, Shibesh; Gupta, Anshul; Kundu, Shreya; Jamieson, Geraldine; Moors, Kristof; Pinna, Nicolo; Ciofi, Ivan; Van Elshocht, Sven; Boemmels, Juergen; Boccardi, Guillaume; Wilson, Chris; Pourtois, Geoffrey; Tokei, Zsolt (2018)
    • An InGaAs/InP quantum well FinFET using the replacement fin process integrated in an RMG flow on 300mm Si substrates 

      Waldron, Niamh; Merckling, Clement; Guo, Weiming; Ong, Patrick; Teugels, Lieve; Ansar, Sheikh; Tsvetanova, Diana; Sebaai, Farid; van Dorp, Dennis; Milenin, Alexey; Lin, Dennis; Nyns, Laura; Mitard, Jerome; Pourghaderi, Mohammad Ali; Douhard, Bastien; Richard, Olivier; Bender, Hugo; Boccardi, Guillaume; Caymax, Matty; Heyns, Marc; Vandervorst, Wilfried; Barla, Kathy; Collaert, Nadine; Thean, Aaron (2014)
    • Application of selective epitaxial growth for merging fins in source/drain areas of sub 20 nm FinFET transistors 

      Hikavyy, Andriy; Kubicek, Stefan; Chew, Soon Aik; Boccardi, Guillaume; Favia, Paola; Eneman, Geert; Loo, Roger (2013)
    • Application of selective epitaxial growth for merging fins in source/drain areas of sub 20 nm FinFET transistors 

      Hikavyy, Andriy; Chew, Soon Aik; Boccardi, Guillaume; Favia, Paola; Loo, Roger (2013)
    • Beyond-Si materials and devices for more Moore and more than Moore applications 

      Collaert, Nadine; Alian, AliReza; Arimura, Hiroaki; Boccardi, Guillaume; Eneman, Geert; Franco, Jacopo; Ivanov, Tsvetan; Lin, Dennis; Mitard, Jerome; Ramesh, Siva; Rooyackers, Rita; Schaekers, Marc; Sibaja-Hernandez, Arturo; Sioncke, Sonja; Smets, Quentin; Vais, Abhitosh; Vandooren, Anne; Veloso, Anabela; Verhulst, Anne; Verreck, Devin; Waldron, Niamh; Walke, Amey; Witters, Liesbeth; Yu, Hao; Zhou, Daisy; Thean, Aaron (2016)
    • Buried power rail integration with FinFETs for ultimate CMOS scaling 

      Gupta, Anshul; Varela Pedreira, Olalla; Arutchelvan, Goutham; Zahedmanesh, Houman; Devriendt, Katia; Hanssen, Frederik; Tao, Zheng; Ritzenthaler, Romain; Wang, Shouhua; Radisic, Dunja; Kenis, Karine; Teugels, Lieve; Sebaai, Farid; Lorant, Christophe; Jourdan, Nicolas; Chan, BT; Subramanian, Sujith; Schleicher, Filip; Hopf, Toby; Peter, Antony; Rassoul, Nouredine; Debruyn, Haroen; Demonie, Ingrid; Siew, Yong Kong; Chiarella, Thomas; Briggs, Basoene; Zhou, Daisy; Rosseel, Erik; De Keersgieter, An; Capogreco, Elena; Dentoni Litta, Eugenio; Boccardi, Guillaume; Baudot, Sylvain; Mannaert, Geert; Bontemps, Noemie; Sepulveda Marquez, Alfonso; Mertens, Sofie; Kim, Min-Soo; Dupuy, Emmanuel; Vandersmissen, Kevin; Paolillo, Sara; Cousserier, Joris; Yakimets, Dmitry; Lazzarino, Frederic; Chehab, Bilal; Favia, Paola; Drijbooms, Chris; Jaysankar, Manoj; Morin, Pierre; Altamirano Sanchez, Efrain; Mitard, Jerome; Wilson, Chris; Holsteyns, Frank; Boemmels, Juergen; Demuynck, Steven; Tokei, Zsolt; Horiguchi, Naoto (2020)
    • Buried Power Rail Integration with Si FinFETs for CMOS Scaling beyond the 5 nm Node 

      Gupta, Anshul; Mertens, Hans; Tao, Zheng; Demuynck, Steven; Boemmels, Juergen; Arutchelvan, Goutham; Devriendt, Katia; Varela Pedreira, Olalla; Ritzenthaler, Romain; Wang, Shouhua; Radisic, Dunja; Kenis, Karine; Teugels, Lieve; Sebaai, Farid; Lorant, Christophe; Jourdan, Nicolas; Chan, BT; Zahedmanesh, Houman; Subramanian, Sujith; Schleicher, Filip; Hopf, Toby; Peter, Antony; Rassoul, Nouredine; Debruyn, Haroen; Demonie, Ingrid; Siew, Yong Kong; Chiarella, Thomas; Briggs, Basoene; Zhou, Daisy; Rosseel, Erik; De Keersgieter, An; Capogreco, Elena; Dentoni Litta, Eugenio; Boccardi, Guillaume; Baudot, Sylvain; Mannaert, Geert; Bontemps, N.; Sepulveda Marquez, Alfonso; Mertens, Sofie; Kim, Min Soo; Dupuy, Emmanuel; Vandersmissen, Kevin; Paolillo, Sara; Yakimets, Dmitry; Chehab, Bilal; Favia, Paola; Drijbooms, Chris; Cousserier, Joris; Jaysankar, Manoj; Lazzarino, Frederic; Morin, Pierre; Altamirano Sanchez, Efrain; Mitard, Jerome; Wilson, Chris; Holsteyns, Frank; Tokei, Zsolt; Horiguchi, Naoto (2020)
    • CMOS area scaling and the need for high aspect ratio vias 

      Briggs, Basoene; Guissi, Sofiane; Wilson, Chris; Ryckaert, Julien; Paolillo, Sara; Vandersmissen, Kevin; Versluijs, Janko; Lorant, Christophe; Heylen, Nancy; Boemmels, Juergen; Tokei, Zsolt; Sherazi, Yasser; Weckx, Pieter; Kljucar, Luka; van der Veen, Marleen; Boccardi, Guillaume; De Heyn, Vincent; Gupta, Anshul; Ervin, Joseph; Kamon, Matt (2018)
    • Fabrication of superconducting resonators in a 300 mm pilot line for quantum technologies 

      Wan, Danny; Swerts, Johan; Souriau, Laurent; Burnett, Jonathan; Piao, Xiaoyu; Mongillo, Massimo; Verjauw, Jeroen; Potocnik, Anton; Thiam, Arame; Jussot, Julien; Vangoidsenhoven, Diziana; Pacco, Antoine; Kudra, Marina; Niepce, David; Ivanov, Tsvetan; Boccardi, Guillaume; Mocuta, Dan; Bylander, Jonas; Radu, Iuliana (2019)
    • Gate double patterning strategies for 10nm node FinFET devices 

      Hody, Hubert; Paraschiv, Vasile; Hellin, David; Vandeweyer, Tom; Boccardi, Guillaume; Xu, Kaidong (2014)
    • Gate-all-around InGaAs nanowire FETs with peak transconductance of 2200 μS/μm at 50nm Lg using a replacement fin RMG flow 

      Waldron, Niamh; Sioncke, Sonja; Franco, Jacopo; Nyns, Laura; Vais, Abhitosh; Zhou, Daisy; Lin, Dennis; Boccardi, Guillaume; Sebaai, Farid; Xie, Qi; Givens, M.; Tang, F.; Jiang, X.; Chiu, Eddie; Opdebeeck, Ann; Merckling, Clement; Maes, Jan; van Dorp, Dennis; Teugels, Lieve; Sibaja-Hernandez, Arturo; De Meyer, Kristin; Barla, Kathy; Collaert, Nadine; Thean, Aaron (2015)
    • Heated implantation with amorphous carbon CMOS mask for scaled FinFETs 

      Togo, Mitsuhiro; Sasaki, Yuichiro; Zschaetzsch, Gerd; Boccardi, Guillaume; Ritzenthaler, Romain; Lee, Jae Woo; Khaja, Fareen; Colombeau, Benjamin; Godet, Ludovic; Martin, Patrick; Brus, Stephan; Altamirano Sanchez, Efrain; Mannaert, Geert; Dekkers, Harold; Hellings, Geert; Horiguchi, Naoto; Vandervorst, Wilfried; Thean, Aaron (2013)
    • Heated implantation with amorphous carbon CMOS mask for scaled FinFETs 

      Togo, Mitsuhiro; Sasaki, Yuichiro; Zschaetzsch, Gerd; Boccardi, Guillaume; Ritzenthaler, Romain; Lee, Jae Woo; Khaja, F.; Colombeau, B.; Godet, L.; Martin, P.; Brus, Stephan; Altamirano Sanchez, Efrain; Mannaert, Geert; Dekkers, Harold; Hellings, Geert; Horiguchi, Naoto; Vandervorst, Wilfried; Thean, Aaron (2013)
    • Highly scalable effective work function engineering approach for multi-VT modulation of planar and FinFET-based RMG high-k last devies for (sub-)22nm nodes 

      Veloso, Anabela; Boccardi, Guillaume; Ragnarsson, Lars-Ake; Higuchi, Yuichi; Lee, Jae Won; Simoen, Eddy; Roussel, Philippe; Cho, Moon Ju; Chew, Soon Aik; Schram, Tom; Dekkers, Harold; Van Ammel, Annemie; Witters, Thomas; Brus, Stephan; Dangol, Anish; Paraschiv, Vasile; Vecchio, Emma; Shi, Xiaoping; Sebaai, Farid; Kellens, Kristof; Heylen, Nancy; Devriendt, Katia; Richard, Olivier; Bender, Hugo; Chiarella, Thomas; Arimura, Hiroaki; Thean, Aaron; Horiguchi, Naoto (2013)