Browsing by Author "Chew, Soon Aik"
- Results Per Page
- Sort Options
Publication 3D simulation for melt laser anneal integration in FinFET's contact
;Tabata, Toshiyuki ;Curvers, Benoit ;Huet, Karim ;Chew, Soon AikEveraert, Jean-LucJournal article2020, IEEE Journal of the Electron Devices Society, 8, p.1323-1327Publication A comparison of arsenic and phosphorus extension by room temperature and hot ion implantation for NMOS Si bulk-FinFET at N7 (7nm) technology relevant fin dimensions
Proceedings paper2015-06, IEEE Symposium on VLSI Technology, 15/06/2015, p.30-31Publication A low-power HKMG CMOS platform compatible with DRAM node 2x and beyond
Journal article2014, IEEE Transactions on Electron Devices, (61) 8, p.2935-2943Publication A new high-k/metal gate CMOS integration scheme (Diffusion and Gate Replacement) suppressing gate height asymmetry and compatible with high-thermal budget memory technologies
Proceedings paper2014, International Electron Devices Meeting - IEDM, 15/12/2014, p.772-775Publication Al-induced defect generation in cubic phase HfO2/SiO2/Si gate stacks
Meeting abstract2012, 43rd IEEE Semiconductor Interface Specialists Conference - SISC, 6/12/2012Publication Application of selective epitaxial growth for merging fins in source/drain areas of sub 20 nm FinFET transistors
Meeting abstract2013, E-MRS Fall Meeting Symposium A: Alternative Semiconductor Integration in Si Microelectronics, 16/09/2013Publication Application of selective epitaxial growth for merging fins in source/drain areas of sub 20 nm FinFET transistors
Proceedings paper2013, 8th International Conference on Silicon Epitaxy and Heterostructures - ICSI-8, 2/06/2013, p.27-28Publication Co and Ru dual damascene compatible metallization studies
Proceedings paper2019, IEEE International Interconnect Technology Conference (IITC 2019) and Materials for Advanced Metallization Conference (MAM 2019), 3/06/2019, p.2.2Publication Cu Pad Surface Height Evaluation Technique by In-line SEM for Wafer Hybrid Bonding
;Kasai, Hiroaki ;Osaki, Mayuka ;Hasumi, Kazuhisa ;Mise, NobuyukiTanaka, MakiProceedings paper2024, Conference on Metrology, Inspection, and Process Control XXXVIII, FEB 26-29, 2024, p.Art. 129551NPublication Diffusion and gate replacement: a new gate-first high-k/metal gate CMOS integration scheme suppressing gate height symmetry
Journal article2016, IEEE Transactions on Electron Devices, (63) 1, p.265-271Publication Dummy design characterization for STI CMP with fixed abrasive
Proceedings paper2014-11, International Conference on Planarization Technology - ICPT, 19/11/2014, p.199-202Publication Effective work function engineering for aggressively scaled planar and FinFET-based devices with high-k last replacement metal gate technology
; ;Chew, Soon Aik ;Higuchi, Yuichi; ; Proceedings paper2012-09, International Conference on Solid State Devices and Materials - SSDM, 25/09/2012Publication Effective work function engineering for aggressively scaled planar and multi-gate fin field-effect transistor-based devices with high-k last replacement metal gate technology
; ;Chew, Soon Aik ;Higuchi, Yuichi; ; Journal article2013, Japanese Journal of Applied Physics, (52) 4, p.04CA02Publication Electrical characteristics of P-type bulk Si fin field-effect transistor using solid-source doping with 1-nm phosphosilicate glass
Journal article2016, IEEE Electron Device Letters, (37) 9, p.1084-1087Publication Exploring Bonding Mechanism of SiCN for Hybrid Bonding
Proceedings paper2024, IEEE 74th Electronic Components and Technology Conference (ECTC), MAY 28-31, 2024, p.1953-1957Publication Gate-all-around MOSFETs based on vertically stacked horizontal Si nanowires in a replacement metal gate process on bulk Si substrates
Proceedings paper2016, IEEE Symposium on VLSI Technology, 13/06/2016, p.1-2Publication Gate-all-around transistors based on vertically stacked Si nanowires
Proceedings paper2017, Silicon Compatible Materials, Processes, and Technologies for Advanced Integrated Circuits and Emerging Applications 7, 28/05/2017, p.19-30Publication Heterostructure at CMOS source/drain: contributor or alleviator to the high access resistance problem?
Proceedings paper2016, IEEE International Electron Devices Meeting - IEDM, 3/12/2016, p.604-607Publication High-throughput in-line SEM Metrology for Cu Pad Nanotopography for Hybrid Bonding Applications
; ; ; ; ; Proceedings paper2024, 10th IEEE Electronics System-Integration Technology Conference (ESTC), SEP 11-13, 2024Publication Highly scalable bulk FinFET devices with multi-VT options by conductive metal gate stack tuning for the 10-nm node and beyond
Proceedings paper2014, VLSI Technology Symposium, 9/06/2014, p.56-57
- «
- 1 (current)
- 2
- 3
- »