Repository logo Institutional repository
  • Communities & Collections
  • Browse
  • Site
Search repository
High contrast
  1. Home
  2. Browse by Author

Browsing by Author "Chew, Soon Aik"

Filter results by typing the first few letters
Now showing 1 - 20 of 54
  • Results Per Page
  • Sort Options
  • Loading...
    Thumbnail Image
    Publication

    3D simulation for melt laser anneal integration in FinFET's contact

    Tabata, Toshiyuki
    ;
    Curvers, Benoit
    ;
    Huet, Karim
    ;
    Chew, Soon Aik
    ;
    Everaert, Jean-Luc
    Journal article
    2020, IEEE Journal of the Electron Devices Society, 8, p.1323-1327
  • Loading...
    Thumbnail Image
    Publication

    A comparison of arsenic and phosphorus extension by room temperature and hot ion implantation for NMOS Si bulk-FinFET at N7 (7nm) technology relevant fin dimensions

    Sasaki, Yuichiro
    ;
    Ritzenthaler, Romain  
    ;
    De Keersgieter, An  
    ;
    Chiarella, Thomas  
    ;
    Kubicek, Stefan  
    Proceedings paper
    2015-06, IEEE Symposium on VLSI Technology, 15/06/2015, p.30-31
  • Loading...
    Thumbnail Image
    Publication

    A low-power HKMG CMOS platform compatible with DRAM node 2x and beyond

    Ritzenthaler, Romain  
    ;
    Schram, Tom  
    ;
    Spessot, Alessio  
    ;
    Caillat, Christian
    ;
    Aoulaiche, Marc
    Journal article
    2014, IEEE Transactions on Electron Devices, (61) 8, p.2935-2943
  • Loading...
    Thumbnail Image
    Publication

    A new high-k/metal gate CMOS integration scheme (Diffusion and Gate Replacement) suppressing gate height asymmetry and compatible with high-thermal budget memory technologies

    Ritzenthaler, Romain  
    ;
    Schram, Tom  
    ;
    Spessot, Alessio  
    ;
    Caillat, Christian
    ;
    Cho, Moon Ju
    Proceedings paper
    2014, International Electron Devices Meeting - IEDM, 15/12/2014, p.772-775
  • Loading...
    Thumbnail Image
    Publication

    Al-induced defect generation in cubic phase HfO2/SiO2/Si gate stacks

    Arimura, Hiroaki  
    ;
    Ragnarsson, Lars-Ake  
    ;
    Veloso, Anabela  
    ;
    Adelmann, Christoph  
    ;
    Degraeve, Robin  
    Meeting abstract
    2012, 43rd IEEE Semiconductor Interface Specialists Conference - SISC, 6/12/2012
  • Loading...
    Thumbnail Image
    Publication

    Application of selective epitaxial growth for merging fins in source/drain areas of sub 20 nm FinFET transistors

    Hikavyy, Andriy  
    ;
    Kubicek, Stefan  
    ;
    Chew, Soon Aik
    ;
    Boccardi, Guillaume  
    ;
    Favia, Paola  
    Meeting abstract
    2013, E-MRS Fall Meeting Symposium A: Alternative Semiconductor Integration in Si Microelectronics, 16/09/2013
  • Loading...
    Thumbnail Image
    Publication

    Application of selective epitaxial growth for merging fins in source/drain areas of sub 20 nm FinFET transistors

    Hikavyy, Andriy  
    ;
    Chew, Soon Aik
    ;
    Boccardi, Guillaume  
    ;
    Favia, Paola  
    ;
    Loo, Roger  
    Proceedings paper
    2013, 8th International Conference on Silicon Epitaxy and Heterostructures - ICSI-8, 2/06/2013, p.27-28
  • Loading...
    Thumbnail Image
    Publication

    Co and Ru dual damascene compatible metallization studies

    van der Veen, Marleen  
    ;
    Heylen, Nancy  
    ;
    Lariviere, Stephane  
    ;
    Vega Gonzalez, Victor  
    ;
    Kesters, Els  
    Proceedings paper
    2019, IEEE International Interconnect Technology Conference (IITC 2019) and Materials for Advanced Metallization Conference (MAM 2019), 3/06/2019, p.2.2
  • Loading...
    Thumbnail Image
    Publication

    Cu Pad Surface Height Evaluation Technique by In-line SEM for Wafer Hybrid Bonding

    Kasai, Hiroaki
    ;
    Osaki, Mayuka
    ;
    Hasumi, Kazuhisa
    ;
    Mise, Nobuyuki
    ;
    Tanaka, Maki
    Proceedings paper
    2024, Conference on Metrology, Inspection, and Process Control XXXVIII, FEB 26-29, 2024, p.Art. 129551N
  • Loading...
    Thumbnail Image
    Publication

    Diffusion and gate replacement: a new gate-first high-k/metal gate CMOS integration scheme suppressing gate height symmetry

    Ritzenthaler, Romain  
    ;
    Schram, Tom  
    ;
    Spessot, Alessio  
    ;
    Caillat, Christian
    ;
    Cho, Moon Ju
    Journal article
    2016, IEEE Transactions on Electron Devices, (63) 1, p.265-271
  • Loading...
    Thumbnail Image
    Publication

    Dummy design characterization for STI CMP with fixed abrasive

    Tsvetanova, Diana  
    ;
    Devriendt, Katia  
    ;
    Ong, Patrick  
    ;
    Vandeweyer, Tom  
    ;
    Delande, Tinne  
    Proceedings paper
    2014-11, International Conference on Planarization Technology - ICPT, 19/11/2014, p.199-202
  • Loading...
    Thumbnail Image
    Publication

    Effective work function engineering for aggressively scaled planar and FinFET-based devices with high-k last replacement metal gate technology

    Veloso, Anabela  
    ;
    Chew, Soon Aik
    ;
    Higuchi, Yuichi
    ;
    Ragnarsson, Lars-Ake  
    ;
    Simoen, Eddy  
    ;
    Schram, Tom  
    Proceedings paper
    2012-09, International Conference on Solid State Devices and Materials - SSDM, 25/09/2012
  • Loading...
    Thumbnail Image
    Publication

    Effective work function engineering for aggressively scaled planar and multi-gate fin field-effect transistor-based devices with high-k last replacement metal gate technology

    Veloso, Anabela  
    ;
    Chew, Soon Aik
    ;
    Higuchi, Yuichi
    ;
    Ragnarsson, Lars-Ake  
    ;
    Simoen, Eddy  
    ;
    Schram, Tom  
    Journal article
    2013, Japanese Journal of Applied Physics, (52) 4, p.04CA02
  • Loading...
    Thumbnail Image
    Publication

    Electrical characteristics of P-type bulk Si fin field-effect transistor using solid-source doping with 1-nm phosphosilicate glass

    Kikuchi, Yoshiaki  
    ;
    Chiarella, Thomas  
    ;
    De Roest, David  
    ;
    Blanquart, Timothee  
    ;
    De Keersgieter, An  
    Journal article
    2016, IEEE Electron Device Letters, (37) 9, p.1084-1087
  • Loading...
    Thumbnail Image
    Publication

    Exploring Bonding Mechanism of SiCN for Hybrid Bonding

    Ebiko, Sodai
    ;
    Iacovo, Serena  
    ;
    Chew, Soon Aik  
    ;
    Zhang, Boyao  
    ;
    Uedono, Akira
    ;
    Inoue, Fumihiro
    Proceedings paper
    2024, IEEE 74th Electronic Components and Technology Conference (ECTC), MAY 28-31, 2024, p.1953-1957
  • Loading...
    Thumbnail Image
    Publication

    Gate-all-around MOSFETs based on vertically stacked horizontal Si nanowires in a replacement metal gate process on bulk Si substrates

    Mertens, Hans  
    ;
    Ritzenthaler, Romain  
    ;
    Hikavyy, Andriy  
    ;
    Kim, Min-Soo  
    ;
    Tao, Zheng  
    ;
    Wostyn, Kurt  
    Proceedings paper
    2016, IEEE Symposium on VLSI Technology, 13/06/2016, p.1-2
  • Loading...
    Thumbnail Image
    Publication

    Gate-all-around transistors based on vertically stacked Si nanowires

    Mertens, Hans  
    ;
    Ritzenthaler, Romain  
    ;
    Hikavyy, Andriy  
    ;
    Kim, Min-Soo  
    ;
    Tao, Zheng  
    ;
    Wostyn, Kurt  
    Proceedings paper
    2017, Silicon Compatible Materials, Processes, and Technologies for Advanced Integrated Circuits and Emerging Applications 7, 28/05/2017, p.19-30
  • Loading...
    Thumbnail Image
    Publication

    Heterostructure at CMOS source/drain: contributor or alleviator to the high access resistance problem?

    Yu, Hao  
    ;
    Schaekers, Marc  
    ;
    Rosseel, Erik  
    ;
    Everaert, Jean-Luc
    ;
    Eyben, Pierre  
    ;
    Chiarella, Thomas  
    Proceedings paper
    2016, IEEE International Electron Devices Meeting - IEDM, 3/12/2016, p.604-607
  • Loading...
    Thumbnail Image
    Publication

    High-throughput in-line SEM Metrology for Cu Pad Nanotopography for Hybrid Bonding Applications

    Tunca Altintas, Bensu  
    ;
    Saib, Mohamed  
    ;
    Moussa, Alain  
    ;
    Chew, Soon Aik  
    ;
    Zhang, Boyao  
    ;
    De Vos, Joeri  
    Proceedings paper
    2024, 10th IEEE Electronics System-Integration Technology Conference (ESTC), SEP 11-13, 2024
  • Loading...
    Thumbnail Image
    Publication

    Highly scalable bulk FinFET devices with multi-VT options by conductive metal gate stack tuning for the 10-nm node and beyond

    Ragnarsson, Lars-Ake  
    ;
    Chew, Soon Aik
    ;
    Dekkers, Harold  
    ;
    Toledano Luque, Maria
    ;
    Parvais, Bertrand  
    Proceedings paper
    2014, VLSI Technology Symposium, 9/06/2014, p.56-57
  • «
  • 1 (current)
  • 2
  • 3
  • »

Follow imec on

VimeoLinkedInFacebook

The repository

  • Contact us
  • Policy
  • About imec
Privacy statement | Cookie settings